Advertisement
Benny1994

Untitled

Sep 10th, 2023
982
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2.     module buttontoled_tb;
  3.         input wire i_sw ;
  4.         output wire o_led;
  5.     buttontoled uut(
  6.         .i_sw=(i_sw),
  7.         .o_led(o_led)
  8.     );
  9.         i_sw = 1;
  10.         #10
  11.         i_sw =0;
  12.        
  13.     endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement