Guest User

Untitled

a guest
Aug 16th, 2018
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.51 KB | None | 0 0
  1. Vhdl vector boundry check
  2. type dmemSpace is array(0 to 1023) of std_logic_vector(31 downto 0);
  3. signal dataMem : dmemSpace := (
  4. 400 => X"00000000",
  5. 404 => X"00001000",
  6. 408 => X"FFFFEFFF",
  7. others => X"00000000"
  8. );
  9.  
  10. signal dAddr : std_logic_vector(31 downto 0);
  11. signal check : integer;
  12.  
  13.  
  14. dAddr(31 downto 0) <= Addr(31 downto 2) & "00";
  15. check <= to_integer(unsigned(dAddr));
  16. DataOut <= dataMem(to_integer(unsigned(dAddr))) when (check > 0);
  17.  
  18. DataOut <= dataMem(to_integer(unsigned(dAddr))) when (check > 0);
Add Comment
Please, Sign In to add comment