Advertisement
Benny1994

Untitled

Sep 10th, 2023
122
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.26 KB | None | 0 0
  1. `timescale 1ns/1ps
  2. module buttontoled_tb(output wire o_led);
  3. reg i_sw;
  4. buttontoled UUT (.i_sw(i_sw), .o_led(o_led));
  5. always@*// i would asume this is always statment is
  6. begin
  7. assign i_sw = ~i_sw;
  8. #10;
  9. end
  10.  
  11. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement