Advertisement
Guest User

Untitled

a guest
Dec 19th, 2017
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 4.03 KB | None | 0 0
  1. [info] Loading global plugins from /home/wifasoi/.sbt/0.13/plugins
  2. [info] Loading project definition from /home/wifasoi/Projects/git/SpinalHDLProjects/EXP1/project
  3. [info] Set current project to SpinalBaseProject (in build file:/home/wifasoi/Projects/git/SpinalHDLProjects/EXP1/)
  4. [info] Running MyTopLevel
  5. [Runtime] SpinalHDL v1.0.2 git head : ce0af862ffae8c5f2d4b26560a5d760aa5b83973
  6. [Runtime] JVM max memory : 981.5MiB
  7. [Runtime] Current date : 2017.12.19 18:35:07
  8. [Progress] at 0.000 : Elaborate components
  9. [Progress] at 0.064 : Checks and transforms
  10. [Progress] at 0.100 : Generate Verilog
  11. [Progress] at 0.103 : emit Wishbonetest
  12. [Warning] 2 signals were pruned. You can call printPruned on the backend report to get more informations.
  13. [Info] Number of registers : 9
  14. [Done] at 0.127
  15. ../Wishbonetest_verilatorSim/VWishbonetest__spinalWrapper.cpp: In constructor ‘Wrapper::Wrapper(const char*)’:
  16. ../Wishbonetest_verilatorSim/VWishbonetest__spinalWrapper.cpp:135:17: error: ‘string’ was not declared in this scope
  17. tfp.open((string("Wishbonetest_verilatorSim/VWishbonetest_") + name + ".vcd").c_str());
  18. ^~~~~~
  19. ../Wishbonetest_verilatorSim/VWishbonetest__spinalWrapper.cpp:135:17: note: suggested alternatives:
  20. In file included from /usr/include/c++/7.2.1/string:39:0,
  21. from /usr/share/verilator/include/verilated_vcd_c.h:29,
  22. from ../Wishbonetest_verilatorSim/VWishbonetest__spinalWrapper.cpp:5:
  23. /usr/include/c++/7.2.1/bits/stringfwd.h:74:33: note: ‘std::__cxx11::string’
  24. typedef basic_string<char> string;
  25. ^~~~~~
  26. /usr/include/c++/7.2.1/bits/stringfwd.h:74:33: note: ‘std::__cxx11::string’
  27. make: *** [VWishbonetest.mk:67: VWishbonetest__spinalWrapper.o] Error 1
  28. make: *** Waiting for unfinished jobs....
  29. cp: cannot stat 'Wishbonetest_verilatorSim/VWishbonetest': No such file or directory
  30. [Progress] Verilator compilation done in 932.990 ms
  31. [error] (run-main-0) java.lang.UnsatisfiedLinkError: libWishbonetest_verilatorSim/VWishbonetest.so: cannot open shared object file: No such file or directory
  32. java.lang.UnsatisfiedLinkError: libWishbonetest_verilatorSim/VWishbonetest.so: cannot open shared object file: No such file or directory
  33. at jnr.ffi.provider.jffi.NativeLibrary.loadNativeLibraries(NativeLibrary.java:87)
  34. at jnr.ffi.provider.jffi.NativeLibrary.getNativeLibraries(NativeLibrary.java:70)
  35. at jnr.ffi.provider.jffi.NativeLibrary.getSymbolAddress(NativeLibrary.java:49)
  36. at jnr.ffi.provider.jffi.NativeLibrary.findSymbolAddress(NativeLibrary.java:59)
  37. at jnr.ffi.provider.jffi.AsmLibraryLoader.generateInterfaceImpl(AsmLibraryLoader.java:158)
  38. at jnr.ffi.provider.jffi.AsmLibraryLoader.loadLibrary(AsmLibraryLoader.java:89)
  39. at jnr.ffi.provider.jffi.NativeLibraryLoader.loadLibrary(NativeLibraryLoader.java:44)
  40. at jnr.ffi.LibraryLoader.load(LibraryLoader.java:325)
  41. at jnr.ffi.LibraryLoader.load(LibraryLoader.java:304)
  42. at spinal.sim.VerilatorBackend.<init>(VerilatorBackend.scala:259)
  43. at spinal.core.sim.SpinalVerilatorBackend$.apply(SimBootstraps.scala:43)
  44. at spinal.core.sim.SimConfig.compile(SimBootstraps.scala:149)
  45. at spinal.core.sim.SimConfig.doManagedSim(SimBootstraps.scala:134)
  46. at MyTopLevel$.main(TopLevel.scala:136)
  47. at MyTopLevel.main(TopLevel.scala)
  48. at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
  49. at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62)
  50. at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43)
  51. at java.lang.reflect.Method.invoke(Method.java:498)
  52. [trace] Stack trace suppressed: run last compile:run for the full output.
  53. java.lang.RuntimeException: Nonzero exit code: 1
  54. at scala.sys.package$.error(package.scala:27)
  55. [trace] Stack trace suppressed: run last compile:run for the full output.
  56. [error] (compile:run) Nonzero exit code: 1
  57. [error] Total time: 1 s, completed Dec 19, 2017 6:35:08 PM
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement