Advertisement
Guest User

blinky.json.old

a guest
Jan 1st, 2020
193
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
JSON 759.74 KB | None | 0 0
  1. {
  2.   "creator": "Yosys 0.9 (git sha1 UNKNOWN, gcc 5.4.0-6ubuntu1~16.04.12 -fPIC -Os)",
  3.   "modules": {
  4.     "ALU54B": {
  5.       "attributes": {
  6.         "blackbox": 1,
  7.         "cells_not_processed": 1,
  8.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:44"
  9.       },
  10.       "ports": {
  11.         "CLK0": {
  12.           "direction": "input",
  13.           "bits": [ 2 ]
  14.         },
  15.         "CLK1": {
  16.           "direction": "input",
  17.           "bits": [ 3 ]
  18.         },
  19.         "CLK2": {
  20.           "direction": "input",
  21.           "bits": [ 4 ]
  22.         },
  23.         "CLK3": {
  24.           "direction": "input",
  25.           "bits": [ 5 ]
  26.         },
  27.         "CE0": {
  28.           "direction": "input",
  29.           "bits": [ 6 ]
  30.         },
  31.         "CE1": {
  32.           "direction": "input",
  33.           "bits": [ 7 ]
  34.         },
  35.         "CE2": {
  36.           "direction": "input",
  37.           "bits": [ 8 ]
  38.         },
  39.         "CE3": {
  40.           "direction": "input",
  41.           "bits": [ 9 ]
  42.         },
  43.         "RST0": {
  44.           "direction": "input",
  45.           "bits": [ 10 ]
  46.         },
  47.         "RST1": {
  48.           "direction": "input",
  49.           "bits": [ 11 ]
  50.         },
  51.         "RST2": {
  52.           "direction": "input",
  53.           "bits": [ 12 ]
  54.         },
  55.         "RST3": {
  56.           "direction": "input",
  57.           "bits": [ 13 ]
  58.         },
  59.         "SIGNEDIA": {
  60.           "direction": "input",
  61.           "bits": [ 14 ]
  62.         },
  63.         "SIGNEDIB": {
  64.           "direction": "input",
  65.           "bits": [ 15 ]
  66.         },
  67.         "SIGNEDCIN": {
  68.           "direction": "input",
  69.           "bits": [ 16 ]
  70.         },
  71.         "A0": {
  72.           "direction": "input",
  73.           "bits": [ 17 ]
  74.         },
  75.         "A1": {
  76.           "direction": "input",
  77.           "bits": [ 18 ]
  78.         },
  79.         "A2": {
  80.           "direction": "input",
  81.           "bits": [ 19 ]
  82.         },
  83.         "A3": {
  84.           "direction": "input",
  85.           "bits": [ 20 ]
  86.         },
  87.         "A4": {
  88.           "direction": "input",
  89.           "bits": [ 21 ]
  90.         },
  91.         "A5": {
  92.           "direction": "input",
  93.           "bits": [ 22 ]
  94.         },
  95.         "A6": {
  96.           "direction": "input",
  97.           "bits": [ 23 ]
  98.         },
  99.         "A7": {
  100.           "direction": "input",
  101.           "bits": [ 24 ]
  102.         },
  103.         "A8": {
  104.           "direction": "input",
  105.           "bits": [ 25 ]
  106.         },
  107.         "A9": {
  108.           "direction": "input",
  109.           "bits": [ 26 ]
  110.         },
  111.         "A10": {
  112.           "direction": "input",
  113.           "bits": [ 27 ]
  114.         },
  115.         "A11": {
  116.           "direction": "input",
  117.           "bits": [ 28 ]
  118.         },
  119.         "A12": {
  120.           "direction": "input",
  121.           "bits": [ 29 ]
  122.         },
  123.         "A13": {
  124.           "direction": "input",
  125.           "bits": [ 30 ]
  126.         },
  127.         "A14": {
  128.           "direction": "input",
  129.           "bits": [ 31 ]
  130.         },
  131.         "A15": {
  132.           "direction": "input",
  133.           "bits": [ 32 ]
  134.         },
  135.         "A16": {
  136.           "direction": "input",
  137.           "bits": [ 33 ]
  138.         },
  139.         "A17": {
  140.           "direction": "input",
  141.           "bits": [ 34 ]
  142.         },
  143.         "A18": {
  144.           "direction": "input",
  145.           "bits": [ 35 ]
  146.         },
  147.         "A19": {
  148.           "direction": "input",
  149.           "bits": [ 36 ]
  150.         },
  151.         "A20": {
  152.           "direction": "input",
  153.           "bits": [ 37 ]
  154.         },
  155.         "A21": {
  156.           "direction": "input",
  157.           "bits": [ 38 ]
  158.         },
  159.         "A22": {
  160.           "direction": "input",
  161.           "bits": [ 39 ]
  162.         },
  163.         "A23": {
  164.           "direction": "input",
  165.           "bits": [ 40 ]
  166.         },
  167.         "A24": {
  168.           "direction": "input",
  169.           "bits": [ 41 ]
  170.         },
  171.         "A25": {
  172.           "direction": "input",
  173.           "bits": [ 42 ]
  174.         },
  175.         "A26": {
  176.           "direction": "input",
  177.           "bits": [ 43 ]
  178.         },
  179.         "A27": {
  180.           "direction": "input",
  181.           "bits": [ 44 ]
  182.         },
  183.         "A28": {
  184.           "direction": "input",
  185.           "bits": [ 45 ]
  186.         },
  187.         "A29": {
  188.           "direction": "input",
  189.           "bits": [ 46 ]
  190.         },
  191.         "A30": {
  192.           "direction": "input",
  193.           "bits": [ 47 ]
  194.         },
  195.         "A31": {
  196.           "direction": "input",
  197.           "bits": [ 48 ]
  198.         },
  199.         "A32": {
  200.           "direction": "input",
  201.           "bits": [ 49 ]
  202.         },
  203.         "A33": {
  204.           "direction": "input",
  205.           "bits": [ 50 ]
  206.         },
  207.         "A34": {
  208.           "direction": "input",
  209.           "bits": [ 51 ]
  210.         },
  211.         "A35": {
  212.           "direction": "input",
  213.           "bits": [ 52 ]
  214.         },
  215.         "B0": {
  216.           "direction": "input",
  217.           "bits": [ 53 ]
  218.         },
  219.         "B1": {
  220.           "direction": "input",
  221.           "bits": [ 54 ]
  222.         },
  223.         "B2": {
  224.           "direction": "input",
  225.           "bits": [ 55 ]
  226.         },
  227.         "B3": {
  228.           "direction": "input",
  229.           "bits": [ 56 ]
  230.         },
  231.         "B4": {
  232.           "direction": "input",
  233.           "bits": [ 57 ]
  234.         },
  235.         "B5": {
  236.           "direction": "input",
  237.           "bits": [ 58 ]
  238.         },
  239.         "B6": {
  240.           "direction": "input",
  241.           "bits": [ 59 ]
  242.         },
  243.         "B7": {
  244.           "direction": "input",
  245.           "bits": [ 60 ]
  246.         },
  247.         "B8": {
  248.           "direction": "input",
  249.           "bits": [ 61 ]
  250.         },
  251.         "B9": {
  252.           "direction": "input",
  253.           "bits": [ 62 ]
  254.         },
  255.         "B10": {
  256.           "direction": "input",
  257.           "bits": [ 63 ]
  258.         },
  259.         "B11": {
  260.           "direction": "input",
  261.           "bits": [ 64 ]
  262.         },
  263.         "B12": {
  264.           "direction": "input",
  265.           "bits": [ 65 ]
  266.         },
  267.         "B13": {
  268.           "direction": "input",
  269.           "bits": [ 66 ]
  270.         },
  271.         "B14": {
  272.           "direction": "input",
  273.           "bits": [ 67 ]
  274.         },
  275.         "B15": {
  276.           "direction": "input",
  277.           "bits": [ 68 ]
  278.         },
  279.         "B16": {
  280.           "direction": "input",
  281.           "bits": [ 69 ]
  282.         },
  283.         "B17": {
  284.           "direction": "input",
  285.           "bits": [ 70 ]
  286.         },
  287.         "B18": {
  288.           "direction": "input",
  289.           "bits": [ 71 ]
  290.         },
  291.         "B19": {
  292.           "direction": "input",
  293.           "bits": [ 72 ]
  294.         },
  295.         "B20": {
  296.           "direction": "input",
  297.           "bits": [ 73 ]
  298.         },
  299.         "B21": {
  300.           "direction": "input",
  301.           "bits": [ 74 ]
  302.         },
  303.         "B22": {
  304.           "direction": "input",
  305.           "bits": [ 75 ]
  306.         },
  307.         "B23": {
  308.           "direction": "input",
  309.           "bits": [ 76 ]
  310.         },
  311.         "B24": {
  312.           "direction": "input",
  313.           "bits": [ 77 ]
  314.         },
  315.         "B25": {
  316.           "direction": "input",
  317.           "bits": [ 78 ]
  318.         },
  319.         "B26": {
  320.           "direction": "input",
  321.           "bits": [ 79 ]
  322.         },
  323.         "B27": {
  324.           "direction": "input",
  325.           "bits": [ 80 ]
  326.         },
  327.         "B28": {
  328.           "direction": "input",
  329.           "bits": [ 81 ]
  330.         },
  331.         "B29": {
  332.           "direction": "input",
  333.           "bits": [ 82 ]
  334.         },
  335.         "B30": {
  336.           "direction": "input",
  337.           "bits": [ 83 ]
  338.         },
  339.         "B31": {
  340.           "direction": "input",
  341.           "bits": [ 84 ]
  342.         },
  343.         "B32": {
  344.           "direction": "input",
  345.           "bits": [ 85 ]
  346.         },
  347.         "B33": {
  348.           "direction": "input",
  349.           "bits": [ 86 ]
  350.         },
  351.         "B34": {
  352.           "direction": "input",
  353.           "bits": [ 87 ]
  354.         },
  355.         "B35": {
  356.           "direction": "input",
  357.           "bits": [ 88 ]
  358.         },
  359.         "C0": {
  360.           "direction": "input",
  361.           "bits": [ 89 ]
  362.         },
  363.         "C1": {
  364.           "direction": "input",
  365.           "bits": [ 90 ]
  366.         },
  367.         "C2": {
  368.           "direction": "input",
  369.           "bits": [ 91 ]
  370.         },
  371.         "C3": {
  372.           "direction": "input",
  373.           "bits": [ 92 ]
  374.         },
  375.         "C4": {
  376.           "direction": "input",
  377.           "bits": [ 93 ]
  378.         },
  379.         "C5": {
  380.           "direction": "input",
  381.           "bits": [ 94 ]
  382.         },
  383.         "C6": {
  384.           "direction": "input",
  385.           "bits": [ 95 ]
  386.         },
  387.         "C7": {
  388.           "direction": "input",
  389.           "bits": [ 96 ]
  390.         },
  391.         "C8": {
  392.           "direction": "input",
  393.           "bits": [ 97 ]
  394.         },
  395.         "C9": {
  396.           "direction": "input",
  397.           "bits": [ 98 ]
  398.         },
  399.         "C10": {
  400.           "direction": "input",
  401.           "bits": [ 99 ]
  402.         },
  403.         "C11": {
  404.           "direction": "input",
  405.           "bits": [ 100 ]
  406.         },
  407.         "C12": {
  408.           "direction": "input",
  409.           "bits": [ 101 ]
  410.         },
  411.         "C13": {
  412.           "direction": "input",
  413.           "bits": [ 102 ]
  414.         },
  415.         "C14": {
  416.           "direction": "input",
  417.           "bits": [ 103 ]
  418.         },
  419.         "C15": {
  420.           "direction": "input",
  421.           "bits": [ 104 ]
  422.         },
  423.         "C16": {
  424.           "direction": "input",
  425.           "bits": [ 105 ]
  426.         },
  427.         "C17": {
  428.           "direction": "input",
  429.           "bits": [ 106 ]
  430.         },
  431.         "C18": {
  432.           "direction": "input",
  433.           "bits": [ 107 ]
  434.         },
  435.         "C19": {
  436.           "direction": "input",
  437.           "bits": [ 108 ]
  438.         },
  439.         "C20": {
  440.           "direction": "input",
  441.           "bits": [ 109 ]
  442.         },
  443.         "C21": {
  444.           "direction": "input",
  445.           "bits": [ 110 ]
  446.         },
  447.         "C22": {
  448.           "direction": "input",
  449.           "bits": [ 111 ]
  450.         },
  451.         "C23": {
  452.           "direction": "input",
  453.           "bits": [ 112 ]
  454.         },
  455.         "C24": {
  456.           "direction": "input",
  457.           "bits": [ 113 ]
  458.         },
  459.         "C25": {
  460.           "direction": "input",
  461.           "bits": [ 114 ]
  462.         },
  463.         "C26": {
  464.           "direction": "input",
  465.           "bits": [ 115 ]
  466.         },
  467.         "C27": {
  468.           "direction": "input",
  469.           "bits": [ 116 ]
  470.         },
  471.         "C28": {
  472.           "direction": "input",
  473.           "bits": [ 117 ]
  474.         },
  475.         "C29": {
  476.           "direction": "input",
  477.           "bits": [ 118 ]
  478.         },
  479.         "C30": {
  480.           "direction": "input",
  481.           "bits": [ 119 ]
  482.         },
  483.         "C31": {
  484.           "direction": "input",
  485.           "bits": [ 120 ]
  486.         },
  487.         "C32": {
  488.           "direction": "input",
  489.           "bits": [ 121 ]
  490.         },
  491.         "C33": {
  492.           "direction": "input",
  493.           "bits": [ 122 ]
  494.         },
  495.         "C34": {
  496.           "direction": "input",
  497.           "bits": [ 123 ]
  498.         },
  499.         "C35": {
  500.           "direction": "input",
  501.           "bits": [ 124 ]
  502.         },
  503.         "C36": {
  504.           "direction": "input",
  505.           "bits": [ 125 ]
  506.         },
  507.         "C37": {
  508.           "direction": "input",
  509.           "bits": [ 126 ]
  510.         },
  511.         "C38": {
  512.           "direction": "input",
  513.           "bits": [ 127 ]
  514.         },
  515.         "C39": {
  516.           "direction": "input",
  517.           "bits": [ 128 ]
  518.         },
  519.         "C40": {
  520.           "direction": "input",
  521.           "bits": [ 129 ]
  522.         },
  523.         "C41": {
  524.           "direction": "input",
  525.           "bits": [ 130 ]
  526.         },
  527.         "C42": {
  528.           "direction": "input",
  529.           "bits": [ 131 ]
  530.         },
  531.         "C43": {
  532.           "direction": "input",
  533.           "bits": [ 132 ]
  534.         },
  535.         "C44": {
  536.           "direction": "input",
  537.           "bits": [ 133 ]
  538.         },
  539.         "C45": {
  540.           "direction": "input",
  541.           "bits": [ 134 ]
  542.         },
  543.         "C46": {
  544.           "direction": "input",
  545.           "bits": [ 135 ]
  546.         },
  547.         "C47": {
  548.           "direction": "input",
  549.           "bits": [ 136 ]
  550.         },
  551.         "C48": {
  552.           "direction": "input",
  553.           "bits": [ 137 ]
  554.         },
  555.         "C49": {
  556.           "direction": "input",
  557.           "bits": [ 138 ]
  558.         },
  559.         "C50": {
  560.           "direction": "input",
  561.           "bits": [ 139 ]
  562.         },
  563.         "C51": {
  564.           "direction": "input",
  565.           "bits": [ 140 ]
  566.         },
  567.         "C52": {
  568.           "direction": "input",
  569.           "bits": [ 141 ]
  570.         },
  571.         "C53": {
  572.           "direction": "input",
  573.           "bits": [ 142 ]
  574.         },
  575.         "CFB0": {
  576.           "direction": "input",
  577.           "bits": [ 143 ]
  578.         },
  579.         "CFB1": {
  580.           "direction": "input",
  581.           "bits": [ 144 ]
  582.         },
  583.         "CFB2": {
  584.           "direction": "input",
  585.           "bits": [ 145 ]
  586.         },
  587.         "CFB3": {
  588.           "direction": "input",
  589.           "bits": [ 146 ]
  590.         },
  591.         "CFB4": {
  592.           "direction": "input",
  593.           "bits": [ 147 ]
  594.         },
  595.         "CFB5": {
  596.           "direction": "input",
  597.           "bits": [ 148 ]
  598.         },
  599.         "CFB6": {
  600.           "direction": "input",
  601.           "bits": [ 149 ]
  602.         },
  603.         "CFB7": {
  604.           "direction": "input",
  605.           "bits": [ 150 ]
  606.         },
  607.         "CFB8": {
  608.           "direction": "input",
  609.           "bits": [ 151 ]
  610.         },
  611.         "CFB9": {
  612.           "direction": "input",
  613.           "bits": [ 152 ]
  614.         },
  615.         "CFB10": {
  616.           "direction": "input",
  617.           "bits": [ 153 ]
  618.         },
  619.         "CFB11": {
  620.           "direction": "input",
  621.           "bits": [ 154 ]
  622.         },
  623.         "CFB12": {
  624.           "direction": "input",
  625.           "bits": [ 155 ]
  626.         },
  627.         "CFB13": {
  628.           "direction": "input",
  629.           "bits": [ 156 ]
  630.         },
  631.         "CFB14": {
  632.           "direction": "input",
  633.           "bits": [ 157 ]
  634.         },
  635.         "CFB15": {
  636.           "direction": "input",
  637.           "bits": [ 158 ]
  638.         },
  639.         "CFB16": {
  640.           "direction": "input",
  641.           "bits": [ 159 ]
  642.         },
  643.         "CFB17": {
  644.           "direction": "input",
  645.           "bits": [ 160 ]
  646.         },
  647.         "CFB18": {
  648.           "direction": "input",
  649.           "bits": [ 161 ]
  650.         },
  651.         "CFB19": {
  652.           "direction": "input",
  653.           "bits": [ 162 ]
  654.         },
  655.         "CFB20": {
  656.           "direction": "input",
  657.           "bits": [ 163 ]
  658.         },
  659.         "CFB21": {
  660.           "direction": "input",
  661.           "bits": [ 164 ]
  662.         },
  663.         "CFB22": {
  664.           "direction": "input",
  665.           "bits": [ 165 ]
  666.         },
  667.         "CFB23": {
  668.           "direction": "input",
  669.           "bits": [ 166 ]
  670.         },
  671.         "CFB24": {
  672.           "direction": "input",
  673.           "bits": [ 167 ]
  674.         },
  675.         "CFB25": {
  676.           "direction": "input",
  677.           "bits": [ 168 ]
  678.         },
  679.         "CFB26": {
  680.           "direction": "input",
  681.           "bits": [ 169 ]
  682.         },
  683.         "CFB27": {
  684.           "direction": "input",
  685.           "bits": [ 170 ]
  686.         },
  687.         "CFB28": {
  688.           "direction": "input",
  689.           "bits": [ 171 ]
  690.         },
  691.         "CFB29": {
  692.           "direction": "input",
  693.           "bits": [ 172 ]
  694.         },
  695.         "CFB30": {
  696.           "direction": "input",
  697.           "bits": [ 173 ]
  698.         },
  699.         "CFB31": {
  700.           "direction": "input",
  701.           "bits": [ 174 ]
  702.         },
  703.         "CFB32": {
  704.           "direction": "input",
  705.           "bits": [ 175 ]
  706.         },
  707.         "CFB33": {
  708.           "direction": "input",
  709.           "bits": [ 176 ]
  710.         },
  711.         "CFB34": {
  712.           "direction": "input",
  713.           "bits": [ 177 ]
  714.         },
  715.         "CFB35": {
  716.           "direction": "input",
  717.           "bits": [ 178 ]
  718.         },
  719.         "CFB36": {
  720.           "direction": "input",
  721.           "bits": [ 179 ]
  722.         },
  723.         "CFB37": {
  724.           "direction": "input",
  725.           "bits": [ 180 ]
  726.         },
  727.         "CFB38": {
  728.           "direction": "input",
  729.           "bits": [ 181 ]
  730.         },
  731.         "CFB39": {
  732.           "direction": "input",
  733.           "bits": [ 182 ]
  734.         },
  735.         "CFB40": {
  736.           "direction": "input",
  737.           "bits": [ 183 ]
  738.         },
  739.         "CFB41": {
  740.           "direction": "input",
  741.           "bits": [ 184 ]
  742.         },
  743.         "CFB42": {
  744.           "direction": "input",
  745.           "bits": [ 185 ]
  746.         },
  747.         "CFB43": {
  748.           "direction": "input",
  749.           "bits": [ 186 ]
  750.         },
  751.         "CFB44": {
  752.           "direction": "input",
  753.           "bits": [ 187 ]
  754.         },
  755.         "CFB45": {
  756.           "direction": "input",
  757.           "bits": [ 188 ]
  758.         },
  759.         "CFB46": {
  760.           "direction": "input",
  761.           "bits": [ 189 ]
  762.         },
  763.         "CFB47": {
  764.           "direction": "input",
  765.           "bits": [ 190 ]
  766.         },
  767.         "CFB48": {
  768.           "direction": "input",
  769.           "bits": [ 191 ]
  770.         },
  771.         "CFB49": {
  772.           "direction": "input",
  773.           "bits": [ 192 ]
  774.         },
  775.         "CFB50": {
  776.           "direction": "input",
  777.           "bits": [ 193 ]
  778.         },
  779.         "CFB51": {
  780.           "direction": "input",
  781.           "bits": [ 194 ]
  782.         },
  783.         "CFB52": {
  784.           "direction": "input",
  785.           "bits": [ 195 ]
  786.         },
  787.         "CFB53": {
  788.           "direction": "input",
  789.           "bits": [ 196 ]
  790.         },
  791.         "MA0": {
  792.           "direction": "input",
  793.           "bits": [ 197 ]
  794.         },
  795.         "MA1": {
  796.           "direction": "input",
  797.           "bits": [ 198 ]
  798.         },
  799.         "MA2": {
  800.           "direction": "input",
  801.           "bits": [ 199 ]
  802.         },
  803.         "MA3": {
  804.           "direction": "input",
  805.           "bits": [ 200 ]
  806.         },
  807.         "MA4": {
  808.           "direction": "input",
  809.           "bits": [ 201 ]
  810.         },
  811.         "MA5": {
  812.           "direction": "input",
  813.           "bits": [ 202 ]
  814.         },
  815.         "MA6": {
  816.           "direction": "input",
  817.           "bits": [ 203 ]
  818.         },
  819.         "MA7": {
  820.           "direction": "input",
  821.           "bits": [ 204 ]
  822.         },
  823.         "MA8": {
  824.           "direction": "input",
  825.           "bits": [ 205 ]
  826.         },
  827.         "MA9": {
  828.           "direction": "input",
  829.           "bits": [ 206 ]
  830.         },
  831.         "MA10": {
  832.           "direction": "input",
  833.           "bits": [ 207 ]
  834.         },
  835.         "MA11": {
  836.           "direction": "input",
  837.           "bits": [ 208 ]
  838.         },
  839.         "MA12": {
  840.           "direction": "input",
  841.           "bits": [ 209 ]
  842.         },
  843.         "MA13": {
  844.           "direction": "input",
  845.           "bits": [ 210 ]
  846.         },
  847.         "MA14": {
  848.           "direction": "input",
  849.           "bits": [ 211 ]
  850.         },
  851.         "MA15": {
  852.           "direction": "input",
  853.           "bits": [ 212 ]
  854.         },
  855.         "MA16": {
  856.           "direction": "input",
  857.           "bits": [ 213 ]
  858.         },
  859.         "MA17": {
  860.           "direction": "input",
  861.           "bits": [ 214 ]
  862.         },
  863.         "MA18": {
  864.           "direction": "input",
  865.           "bits": [ 215 ]
  866.         },
  867.         "MA19": {
  868.           "direction": "input",
  869.           "bits": [ 216 ]
  870.         },
  871.         "MA20": {
  872.           "direction": "input",
  873.           "bits": [ 217 ]
  874.         },
  875.         "MA21": {
  876.           "direction": "input",
  877.           "bits": [ 218 ]
  878.         },
  879.         "MA22": {
  880.           "direction": "input",
  881.           "bits": [ 219 ]
  882.         },
  883.         "MA23": {
  884.           "direction": "input",
  885.           "bits": [ 220 ]
  886.         },
  887.         "MA24": {
  888.           "direction": "input",
  889.           "bits": [ 221 ]
  890.         },
  891.         "MA25": {
  892.           "direction": "input",
  893.           "bits": [ 222 ]
  894.         },
  895.         "MA26": {
  896.           "direction": "input",
  897.           "bits": [ 223 ]
  898.         },
  899.         "MA27": {
  900.           "direction": "input",
  901.           "bits": [ 224 ]
  902.         },
  903.         "MA28": {
  904.           "direction": "input",
  905.           "bits": [ 225 ]
  906.         },
  907.         "MA29": {
  908.           "direction": "input",
  909.           "bits": [ 226 ]
  910.         },
  911.         "MA30": {
  912.           "direction": "input",
  913.           "bits": [ 227 ]
  914.         },
  915.         "MA31": {
  916.           "direction": "input",
  917.           "bits": [ 228 ]
  918.         },
  919.         "MA32": {
  920.           "direction": "input",
  921.           "bits": [ 229 ]
  922.         },
  923.         "MA33": {
  924.           "direction": "input",
  925.           "bits": [ 230 ]
  926.         },
  927.         "MA34": {
  928.           "direction": "input",
  929.           "bits": [ 231 ]
  930.         },
  931.         "MA35": {
  932.           "direction": "input",
  933.           "bits": [ 232 ]
  934.         },
  935.         "MB0": {
  936.           "direction": "input",
  937.           "bits": [ 233 ]
  938.         },
  939.         "MB1": {
  940.           "direction": "input",
  941.           "bits": [ 234 ]
  942.         },
  943.         "MB2": {
  944.           "direction": "input",
  945.           "bits": [ 235 ]
  946.         },
  947.         "MB3": {
  948.           "direction": "input",
  949.           "bits": [ 236 ]
  950.         },
  951.         "MB4": {
  952.           "direction": "input",
  953.           "bits": [ 237 ]
  954.         },
  955.         "MB5": {
  956.           "direction": "input",
  957.           "bits": [ 238 ]
  958.         },
  959.         "MB6": {
  960.           "direction": "input",
  961.           "bits": [ 239 ]
  962.         },
  963.         "MB7": {
  964.           "direction": "input",
  965.           "bits": [ 240 ]
  966.         },
  967.         "MB8": {
  968.           "direction": "input",
  969.           "bits": [ 241 ]
  970.         },
  971.         "MB9": {
  972.           "direction": "input",
  973.           "bits": [ 242 ]
  974.         },
  975.         "MB10": {
  976.           "direction": "input",
  977.           "bits": [ 243 ]
  978.         },
  979.         "MB11": {
  980.           "direction": "input",
  981.           "bits": [ 244 ]
  982.         },
  983.         "MB12": {
  984.           "direction": "input",
  985.           "bits": [ 245 ]
  986.         },
  987.         "MB13": {
  988.           "direction": "input",
  989.           "bits": [ 246 ]
  990.         },
  991.         "MB14": {
  992.           "direction": "input",
  993.           "bits": [ 247 ]
  994.         },
  995.         "MB15": {
  996.           "direction": "input",
  997.           "bits": [ 248 ]
  998.         },
  999.         "MB16": {
  1000.           "direction": "input",
  1001.           "bits": [ 249 ]
  1002.         },
  1003.         "MB17": {
  1004.           "direction": "input",
  1005.           "bits": [ 250 ]
  1006.         },
  1007.         "MB18": {
  1008.           "direction": "input",
  1009.           "bits": [ 251 ]
  1010.         },
  1011.         "MB19": {
  1012.           "direction": "input",
  1013.           "bits": [ 252 ]
  1014.         },
  1015.         "MB20": {
  1016.           "direction": "input",
  1017.           "bits": [ 253 ]
  1018.         },
  1019.         "MB21": {
  1020.           "direction": "input",
  1021.           "bits": [ 254 ]
  1022.         },
  1023.         "MB22": {
  1024.           "direction": "input",
  1025.           "bits": [ 255 ]
  1026.         },
  1027.         "MB23": {
  1028.           "direction": "input",
  1029.           "bits": [ 256 ]
  1030.         },
  1031.         "MB24": {
  1032.           "direction": "input",
  1033.           "bits": [ 257 ]
  1034.         },
  1035.         "MB25": {
  1036.           "direction": "input",
  1037.           "bits": [ 258 ]
  1038.         },
  1039.         "MB26": {
  1040.           "direction": "input",
  1041.           "bits": [ 259 ]
  1042.         },
  1043.         "MB27": {
  1044.           "direction": "input",
  1045.           "bits": [ 260 ]
  1046.         },
  1047.         "MB28": {
  1048.           "direction": "input",
  1049.           "bits": [ 261 ]
  1050.         },
  1051.         "MB29": {
  1052.           "direction": "input",
  1053.           "bits": [ 262 ]
  1054.         },
  1055.         "MB30": {
  1056.           "direction": "input",
  1057.           "bits": [ 263 ]
  1058.         },
  1059.         "MB31": {
  1060.           "direction": "input",
  1061.           "bits": [ 264 ]
  1062.         },
  1063.         "MB32": {
  1064.           "direction": "input",
  1065.           "bits": [ 265 ]
  1066.         },
  1067.         "MB33": {
  1068.           "direction": "input",
  1069.           "bits": [ 266 ]
  1070.         },
  1071.         "MB34": {
  1072.           "direction": "input",
  1073.           "bits": [ 267 ]
  1074.         },
  1075.         "MB35": {
  1076.           "direction": "input",
  1077.           "bits": [ 268 ]
  1078.         },
  1079.         "CIN0": {
  1080.           "direction": "input",
  1081.           "bits": [ 269 ]
  1082.         },
  1083.         "CIN1": {
  1084.           "direction": "input",
  1085.           "bits": [ 270 ]
  1086.         },
  1087.         "CIN2": {
  1088.           "direction": "input",
  1089.           "bits": [ 271 ]
  1090.         },
  1091.         "CIN3": {
  1092.           "direction": "input",
  1093.           "bits": [ 272 ]
  1094.         },
  1095.         "CIN4": {
  1096.           "direction": "input",
  1097.           "bits": [ 273 ]
  1098.         },
  1099.         "CIN5": {
  1100.           "direction": "input",
  1101.           "bits": [ 274 ]
  1102.         },
  1103.         "CIN6": {
  1104.           "direction": "input",
  1105.           "bits": [ 275 ]
  1106.         },
  1107.         "CIN7": {
  1108.           "direction": "input",
  1109.           "bits": [ 276 ]
  1110.         },
  1111.         "CIN8": {
  1112.           "direction": "input",
  1113.           "bits": [ 277 ]
  1114.         },
  1115.         "CIN9": {
  1116.           "direction": "input",
  1117.           "bits": [ 278 ]
  1118.         },
  1119.         "CIN10": {
  1120.           "direction": "input",
  1121.           "bits": [ 279 ]
  1122.         },
  1123.         "CIN11": {
  1124.           "direction": "input",
  1125.           "bits": [ 280 ]
  1126.         },
  1127.         "CIN12": {
  1128.           "direction": "input",
  1129.           "bits": [ 281 ]
  1130.         },
  1131.         "CIN13": {
  1132.           "direction": "input",
  1133.           "bits": [ 282 ]
  1134.         },
  1135.         "CIN14": {
  1136.           "direction": "input",
  1137.           "bits": [ 283 ]
  1138.         },
  1139.         "CIN15": {
  1140.           "direction": "input",
  1141.           "bits": [ 284 ]
  1142.         },
  1143.         "CIN16": {
  1144.           "direction": "input",
  1145.           "bits": [ 285 ]
  1146.         },
  1147.         "CIN17": {
  1148.           "direction": "input",
  1149.           "bits": [ 286 ]
  1150.         },
  1151.         "CIN18": {
  1152.           "direction": "input",
  1153.           "bits": [ 287 ]
  1154.         },
  1155.         "CIN19": {
  1156.           "direction": "input",
  1157.           "bits": [ 288 ]
  1158.         },
  1159.         "CIN20": {
  1160.           "direction": "input",
  1161.           "bits": [ 289 ]
  1162.         },
  1163.         "CIN21": {
  1164.           "direction": "input",
  1165.           "bits": [ 290 ]
  1166.         },
  1167.         "CIN22": {
  1168.           "direction": "input",
  1169.           "bits": [ 291 ]
  1170.         },
  1171.         "CIN23": {
  1172.           "direction": "input",
  1173.           "bits": [ 292 ]
  1174.         },
  1175.         "CIN24": {
  1176.           "direction": "input",
  1177.           "bits": [ 293 ]
  1178.         },
  1179.         "CIN25": {
  1180.           "direction": "input",
  1181.           "bits": [ 294 ]
  1182.         },
  1183.         "CIN26": {
  1184.           "direction": "input",
  1185.           "bits": [ 295 ]
  1186.         },
  1187.         "CIN27": {
  1188.           "direction": "input",
  1189.           "bits": [ 296 ]
  1190.         },
  1191.         "CIN28": {
  1192.           "direction": "input",
  1193.           "bits": [ 297 ]
  1194.         },
  1195.         "CIN29": {
  1196.           "direction": "input",
  1197.           "bits": [ 298 ]
  1198.         },
  1199.         "CIN30": {
  1200.           "direction": "input",
  1201.           "bits": [ 299 ]
  1202.         },
  1203.         "CIN31": {
  1204.           "direction": "input",
  1205.           "bits": [ 300 ]
  1206.         },
  1207.         "CIN32": {
  1208.           "direction": "input",
  1209.           "bits": [ 301 ]
  1210.         },
  1211.         "CIN33": {
  1212.           "direction": "input",
  1213.           "bits": [ 302 ]
  1214.         },
  1215.         "CIN34": {
  1216.           "direction": "input",
  1217.           "bits": [ 303 ]
  1218.         },
  1219.         "CIN35": {
  1220.           "direction": "input",
  1221.           "bits": [ 304 ]
  1222.         },
  1223.         "CIN36": {
  1224.           "direction": "input",
  1225.           "bits": [ 305 ]
  1226.         },
  1227.         "CIN37": {
  1228.           "direction": "input",
  1229.           "bits": [ 306 ]
  1230.         },
  1231.         "CIN38": {
  1232.           "direction": "input",
  1233.           "bits": [ 307 ]
  1234.         },
  1235.         "CIN39": {
  1236.           "direction": "input",
  1237.           "bits": [ 308 ]
  1238.         },
  1239.         "CIN40": {
  1240.           "direction": "input",
  1241.           "bits": [ 309 ]
  1242.         },
  1243.         "CIN41": {
  1244.           "direction": "input",
  1245.           "bits": [ 310 ]
  1246.         },
  1247.         "CIN42": {
  1248.           "direction": "input",
  1249.           "bits": [ 311 ]
  1250.         },
  1251.         "CIN43": {
  1252.           "direction": "input",
  1253.           "bits": [ 312 ]
  1254.         },
  1255.         "CIN44": {
  1256.           "direction": "input",
  1257.           "bits": [ 313 ]
  1258.         },
  1259.         "CIN45": {
  1260.           "direction": "input",
  1261.           "bits": [ 314 ]
  1262.         },
  1263.         "CIN46": {
  1264.           "direction": "input",
  1265.           "bits": [ 315 ]
  1266.         },
  1267.         "CIN47": {
  1268.           "direction": "input",
  1269.           "bits": [ 316 ]
  1270.         },
  1271.         "CIN48": {
  1272.           "direction": "input",
  1273.           "bits": [ 317 ]
  1274.         },
  1275.         "CIN49": {
  1276.           "direction": "input",
  1277.           "bits": [ 318 ]
  1278.         },
  1279.         "CIN50": {
  1280.           "direction": "input",
  1281.           "bits": [ 319 ]
  1282.         },
  1283.         "CIN51": {
  1284.           "direction": "input",
  1285.           "bits": [ 320 ]
  1286.         },
  1287.         "CIN52": {
  1288.           "direction": "input",
  1289.           "bits": [ 321 ]
  1290.         },
  1291.         "CIN53": {
  1292.           "direction": "input",
  1293.           "bits": [ 322 ]
  1294.         },
  1295.         "OP0": {
  1296.           "direction": "input",
  1297.           "bits": [ 323 ]
  1298.         },
  1299.         "OP1": {
  1300.           "direction": "input",
  1301.           "bits": [ 324 ]
  1302.         },
  1303.         "OP2": {
  1304.           "direction": "input",
  1305.           "bits": [ 325 ]
  1306.         },
  1307.         "OP3": {
  1308.           "direction": "input",
  1309.           "bits": [ 326 ]
  1310.         },
  1311.         "OP4": {
  1312.           "direction": "input",
  1313.           "bits": [ 327 ]
  1314.         },
  1315.         "OP5": {
  1316.           "direction": "input",
  1317.           "bits": [ 328 ]
  1318.         },
  1319.         "OP6": {
  1320.           "direction": "input",
  1321.           "bits": [ 329 ]
  1322.         },
  1323.         "OP7": {
  1324.           "direction": "input",
  1325.           "bits": [ 330 ]
  1326.         },
  1327.         "OP8": {
  1328.           "direction": "input",
  1329.           "bits": [ 331 ]
  1330.         },
  1331.         "OP9": {
  1332.           "direction": "input",
  1333.           "bits": [ 332 ]
  1334.         },
  1335.         "OP10": {
  1336.           "direction": "input",
  1337.           "bits": [ 333 ]
  1338.         },
  1339.         "R0": {
  1340.           "direction": "output",
  1341.           "bits": [ 334 ]
  1342.         },
  1343.         "R1": {
  1344.           "direction": "output",
  1345.           "bits": [ 335 ]
  1346.         },
  1347.         "R2": {
  1348.           "direction": "output",
  1349.           "bits": [ 336 ]
  1350.         },
  1351.         "R3": {
  1352.           "direction": "output",
  1353.           "bits": [ 337 ]
  1354.         },
  1355.         "R4": {
  1356.           "direction": "output",
  1357.           "bits": [ 338 ]
  1358.         },
  1359.         "R5": {
  1360.           "direction": "output",
  1361.           "bits": [ 339 ]
  1362.         },
  1363.         "R6": {
  1364.           "direction": "output",
  1365.           "bits": [ 340 ]
  1366.         },
  1367.         "R7": {
  1368.           "direction": "output",
  1369.           "bits": [ 341 ]
  1370.         },
  1371.         "R8": {
  1372.           "direction": "output",
  1373.           "bits": [ 342 ]
  1374.         },
  1375.         "R9": {
  1376.           "direction": "output",
  1377.           "bits": [ 343 ]
  1378.         },
  1379.         "R10": {
  1380.           "direction": "output",
  1381.           "bits": [ 344 ]
  1382.         },
  1383.         "R11": {
  1384.           "direction": "output",
  1385.           "bits": [ 345 ]
  1386.         },
  1387.         "R12": {
  1388.           "direction": "output",
  1389.           "bits": [ 346 ]
  1390.         },
  1391.         "R13": {
  1392.           "direction": "output",
  1393.           "bits": [ 347 ]
  1394.         },
  1395.         "R14": {
  1396.           "direction": "output",
  1397.           "bits": [ 348 ]
  1398.         },
  1399.         "R15": {
  1400.           "direction": "output",
  1401.           "bits": [ 349 ]
  1402.         },
  1403.         "R16": {
  1404.           "direction": "output",
  1405.           "bits": [ 350 ]
  1406.         },
  1407.         "R17": {
  1408.           "direction": "output",
  1409.           "bits": [ 351 ]
  1410.         },
  1411.         "R18": {
  1412.           "direction": "output",
  1413.           "bits": [ 352 ]
  1414.         },
  1415.         "R19": {
  1416.           "direction": "output",
  1417.           "bits": [ 353 ]
  1418.         },
  1419.         "R20": {
  1420.           "direction": "output",
  1421.           "bits": [ 354 ]
  1422.         },
  1423.         "R21": {
  1424.           "direction": "output",
  1425.           "bits": [ 355 ]
  1426.         },
  1427.         "R22": {
  1428.           "direction": "output",
  1429.           "bits": [ 356 ]
  1430.         },
  1431.         "R23": {
  1432.           "direction": "output",
  1433.           "bits": [ 357 ]
  1434.         },
  1435.         "R24": {
  1436.           "direction": "output",
  1437.           "bits": [ 358 ]
  1438.         },
  1439.         "R25": {
  1440.           "direction": "output",
  1441.           "bits": [ 359 ]
  1442.         },
  1443.         "R26": {
  1444.           "direction": "output",
  1445.           "bits": [ 360 ]
  1446.         },
  1447.         "R27": {
  1448.           "direction": "output",
  1449.           "bits": [ 361 ]
  1450.         },
  1451.         "R28": {
  1452.           "direction": "output",
  1453.           "bits": [ 362 ]
  1454.         },
  1455.         "R29": {
  1456.           "direction": "output",
  1457.           "bits": [ 363 ]
  1458.         },
  1459.         "R30": {
  1460.           "direction": "output",
  1461.           "bits": [ 364 ]
  1462.         },
  1463.         "R31": {
  1464.           "direction": "output",
  1465.           "bits": [ 365 ]
  1466.         },
  1467.         "R32": {
  1468.           "direction": "output",
  1469.           "bits": [ 366 ]
  1470.         },
  1471.         "R33": {
  1472.           "direction": "output",
  1473.           "bits": [ 367 ]
  1474.         },
  1475.         "R34": {
  1476.           "direction": "output",
  1477.           "bits": [ 368 ]
  1478.         },
  1479.         "R35": {
  1480.           "direction": "output",
  1481.           "bits": [ 369 ]
  1482.         },
  1483.         "R36": {
  1484.           "direction": "output",
  1485.           "bits": [ 370 ]
  1486.         },
  1487.         "R37": {
  1488.           "direction": "output",
  1489.           "bits": [ 371 ]
  1490.         },
  1491.         "R38": {
  1492.           "direction": "output",
  1493.           "bits": [ 372 ]
  1494.         },
  1495.         "R39": {
  1496.           "direction": "output",
  1497.           "bits": [ 373 ]
  1498.         },
  1499.         "R40": {
  1500.           "direction": "output",
  1501.           "bits": [ 374 ]
  1502.         },
  1503.         "R41": {
  1504.           "direction": "output",
  1505.           "bits": [ 375 ]
  1506.         },
  1507.         "R42": {
  1508.           "direction": "output",
  1509.           "bits": [ 376 ]
  1510.         },
  1511.         "R43": {
  1512.           "direction": "output",
  1513.           "bits": [ 377 ]
  1514.         },
  1515.         "R44": {
  1516.           "direction": "output",
  1517.           "bits": [ 378 ]
  1518.         },
  1519.         "R45": {
  1520.           "direction": "output",
  1521.           "bits": [ 379 ]
  1522.         },
  1523.         "R46": {
  1524.           "direction": "output",
  1525.           "bits": [ 380 ]
  1526.         },
  1527.         "R47": {
  1528.           "direction": "output",
  1529.           "bits": [ 381 ]
  1530.         },
  1531.         "R48": {
  1532.           "direction": "output",
  1533.           "bits": [ 382 ]
  1534.         },
  1535.         "R49": {
  1536.           "direction": "output",
  1537.           "bits": [ 383 ]
  1538.         },
  1539.         "R50": {
  1540.           "direction": "output",
  1541.           "bits": [ 384 ]
  1542.         },
  1543.         "R51": {
  1544.           "direction": "output",
  1545.           "bits": [ 385 ]
  1546.         },
  1547.         "R52": {
  1548.           "direction": "output",
  1549.           "bits": [ 386 ]
  1550.         },
  1551.         "R53": {
  1552.           "direction": "output",
  1553.           "bits": [ 387 ]
  1554.         },
  1555.         "CO0": {
  1556.           "direction": "output",
  1557.           "bits": [ 388 ]
  1558.         },
  1559.         "CO1": {
  1560.           "direction": "output",
  1561.           "bits": [ 389 ]
  1562.         },
  1563.         "CO2": {
  1564.           "direction": "output",
  1565.           "bits": [ 390 ]
  1566.         },
  1567.         "CO3": {
  1568.           "direction": "output",
  1569.           "bits": [ 391 ]
  1570.         },
  1571.         "CO4": {
  1572.           "direction": "output",
  1573.           "bits": [ 392 ]
  1574.         },
  1575.         "CO5": {
  1576.           "direction": "output",
  1577.           "bits": [ 393 ]
  1578.         },
  1579.         "CO6": {
  1580.           "direction": "output",
  1581.           "bits": [ 394 ]
  1582.         },
  1583.         "CO7": {
  1584.           "direction": "output",
  1585.           "bits": [ 395 ]
  1586.         },
  1587.         "CO8": {
  1588.           "direction": "output",
  1589.           "bits": [ 396 ]
  1590.         },
  1591.         "CO9": {
  1592.           "direction": "output",
  1593.           "bits": [ 397 ]
  1594.         },
  1595.         "CO10": {
  1596.           "direction": "output",
  1597.           "bits": [ 398 ]
  1598.         },
  1599.         "CO11": {
  1600.           "direction": "output",
  1601.           "bits": [ 399 ]
  1602.         },
  1603.         "CO12": {
  1604.           "direction": "output",
  1605.           "bits": [ 400 ]
  1606.         },
  1607.         "CO13": {
  1608.           "direction": "output",
  1609.           "bits": [ 401 ]
  1610.         },
  1611.         "CO14": {
  1612.           "direction": "output",
  1613.           "bits": [ 402 ]
  1614.         },
  1615.         "CO15": {
  1616.           "direction": "output",
  1617.           "bits": [ 403 ]
  1618.         },
  1619.         "CO16": {
  1620.           "direction": "output",
  1621.           "bits": [ 404 ]
  1622.         },
  1623.         "CO17": {
  1624.           "direction": "output",
  1625.           "bits": [ 405 ]
  1626.         },
  1627.         "CO18": {
  1628.           "direction": "output",
  1629.           "bits": [ 406 ]
  1630.         },
  1631.         "CO19": {
  1632.           "direction": "output",
  1633.           "bits": [ 407 ]
  1634.         },
  1635.         "CO20": {
  1636.           "direction": "output",
  1637.           "bits": [ 408 ]
  1638.         },
  1639.         "CO21": {
  1640.           "direction": "output",
  1641.           "bits": [ 409 ]
  1642.         },
  1643.         "CO22": {
  1644.           "direction": "output",
  1645.           "bits": [ 410 ]
  1646.         },
  1647.         "CO23": {
  1648.           "direction": "output",
  1649.           "bits": [ 411 ]
  1650.         },
  1651.         "CO24": {
  1652.           "direction": "output",
  1653.           "bits": [ 412 ]
  1654.         },
  1655.         "CO25": {
  1656.           "direction": "output",
  1657.           "bits": [ 413 ]
  1658.         },
  1659.         "CO26": {
  1660.           "direction": "output",
  1661.           "bits": [ 414 ]
  1662.         },
  1663.         "CO27": {
  1664.           "direction": "output",
  1665.           "bits": [ 415 ]
  1666.         },
  1667.         "CO28": {
  1668.           "direction": "output",
  1669.           "bits": [ 416 ]
  1670.         },
  1671.         "CO29": {
  1672.           "direction": "output",
  1673.           "bits": [ 417 ]
  1674.         },
  1675.         "CO30": {
  1676.           "direction": "output",
  1677.           "bits": [ 418 ]
  1678.         },
  1679.         "CO31": {
  1680.           "direction": "output",
  1681.           "bits": [ 419 ]
  1682.         },
  1683.         "CO32": {
  1684.           "direction": "output",
  1685.           "bits": [ 420 ]
  1686.         },
  1687.         "CO33": {
  1688.           "direction": "output",
  1689.           "bits": [ 421 ]
  1690.         },
  1691.         "CO34": {
  1692.           "direction": "output",
  1693.           "bits": [ 422 ]
  1694.         },
  1695.         "CO35": {
  1696.           "direction": "output",
  1697.           "bits": [ 423 ]
  1698.         },
  1699.         "CO36": {
  1700.           "direction": "output",
  1701.           "bits": [ 424 ]
  1702.         },
  1703.         "CO37": {
  1704.           "direction": "output",
  1705.           "bits": [ 425 ]
  1706.         },
  1707.         "CO38": {
  1708.           "direction": "output",
  1709.           "bits": [ 426 ]
  1710.         },
  1711.         "CO39": {
  1712.           "direction": "output",
  1713.           "bits": [ 427 ]
  1714.         },
  1715.         "CO40": {
  1716.           "direction": "output",
  1717.           "bits": [ 428 ]
  1718.         },
  1719.         "CO41": {
  1720.           "direction": "output",
  1721.           "bits": [ 429 ]
  1722.         },
  1723.         "CO42": {
  1724.           "direction": "output",
  1725.           "bits": [ 430 ]
  1726.         },
  1727.         "CO43": {
  1728.           "direction": "output",
  1729.           "bits": [ 431 ]
  1730.         },
  1731.         "CO44": {
  1732.           "direction": "output",
  1733.           "bits": [ 432 ]
  1734.         },
  1735.         "CO45": {
  1736.           "direction": "output",
  1737.           "bits": [ 433 ]
  1738.         },
  1739.         "CO46": {
  1740.           "direction": "output",
  1741.           "bits": [ 434 ]
  1742.         },
  1743.         "CO47": {
  1744.           "direction": "output",
  1745.           "bits": [ 435 ]
  1746.         },
  1747.         "CO48": {
  1748.           "direction": "output",
  1749.           "bits": [ 436 ]
  1750.         },
  1751.         "CO49": {
  1752.           "direction": "output",
  1753.           "bits": [ 437 ]
  1754.         },
  1755.         "CO50": {
  1756.           "direction": "output",
  1757.           "bits": [ 438 ]
  1758.         },
  1759.         "CO51": {
  1760.           "direction": "output",
  1761.           "bits": [ 439 ]
  1762.         },
  1763.         "CO52": {
  1764.           "direction": "output",
  1765.           "bits": [ 440 ]
  1766.         },
  1767.         "CO53": {
  1768.           "direction": "output",
  1769.           "bits": [ 441 ]
  1770.         },
  1771.         "EQZ": {
  1772.           "direction": "output",
  1773.           "bits": [ 442 ]
  1774.         },
  1775.         "EQZM": {
  1776.           "direction": "output",
  1777.           "bits": [ 443 ]
  1778.         },
  1779.         "EQOM": {
  1780.           "direction": "output",
  1781.           "bits": [ 444 ]
  1782.         },
  1783.         "EQPAT": {
  1784.           "direction": "output",
  1785.           "bits": [ 445 ]
  1786.         },
  1787.         "EQPATB": {
  1788.           "direction": "output",
  1789.           "bits": [ 446 ]
  1790.         },
  1791.         "OVER": {
  1792.           "direction": "output",
  1793.           "bits": [ 447 ]
  1794.         },
  1795.         "UNDER": {
  1796.           "direction": "output",
  1797.           "bits": [ 448 ]
  1798.         },
  1799.         "OVERUNDER": {
  1800.           "direction": "output",
  1801.           "bits": [ 449 ]
  1802.         },
  1803.         "SIGNEDR": {
  1804.           "direction": "output",
  1805.           "bits": [ 450 ]
  1806.         }
  1807.       },
  1808.       "cells": {
  1809.       },
  1810.       "netnames": {
  1811.         "A0": {
  1812.           "hide_name": 0,
  1813.           "bits": [ 17 ],
  1814.           "attributes": {
  1815.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1816.           }
  1817.         },
  1818.         "A1": {
  1819.           "hide_name": 0,
  1820.           "bits": [ 18 ],
  1821.           "attributes": {
  1822.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1823.           }
  1824.         },
  1825.         "A10": {
  1826.           "hide_name": 0,
  1827.           "bits": [ 27 ],
  1828.           "attributes": {
  1829.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1830.           }
  1831.         },
  1832.         "A11": {
  1833.           "hide_name": 0,
  1834.           "bits": [ 28 ],
  1835.           "attributes": {
  1836.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1837.           }
  1838.         },
  1839.         "A12": {
  1840.           "hide_name": 0,
  1841.           "bits": [ 29 ],
  1842.           "attributes": {
  1843.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1844.           }
  1845.         },
  1846.         "A13": {
  1847.           "hide_name": 0,
  1848.           "bits": [ 30 ],
  1849.           "attributes": {
  1850.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1851.           }
  1852.         },
  1853.         "A14": {
  1854.           "hide_name": 0,
  1855.           "bits": [ 31 ],
  1856.           "attributes": {
  1857.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1858.           }
  1859.         },
  1860.         "A15": {
  1861.           "hide_name": 0,
  1862.           "bits": [ 32 ],
  1863.           "attributes": {
  1864.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1865.           }
  1866.         },
  1867.         "A16": {
  1868.           "hide_name": 0,
  1869.           "bits": [ 33 ],
  1870.           "attributes": {
  1871.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1872.           }
  1873.         },
  1874.         "A17": {
  1875.           "hide_name": 0,
  1876.           "bits": [ 34 ],
  1877.           "attributes": {
  1878.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1879.           }
  1880.         },
  1881.         "A18": {
  1882.           "hide_name": 0,
  1883.           "bits": [ 35 ],
  1884.           "attributes": {
  1885.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1886.           }
  1887.         },
  1888.         "A19": {
  1889.           "hide_name": 0,
  1890.           "bits": [ 36 ],
  1891.           "attributes": {
  1892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1893.           }
  1894.         },
  1895.         "A2": {
  1896.           "hide_name": 0,
  1897.           "bits": [ 19 ],
  1898.           "attributes": {
  1899.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1900.           }
  1901.         },
  1902.         "A20": {
  1903.           "hide_name": 0,
  1904.           "bits": [ 37 ],
  1905.           "attributes": {
  1906.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1907.           }
  1908.         },
  1909.         "A21": {
  1910.           "hide_name": 0,
  1911.           "bits": [ 38 ],
  1912.           "attributes": {
  1913.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1914.           }
  1915.         },
  1916.         "A22": {
  1917.           "hide_name": 0,
  1918.           "bits": [ 39 ],
  1919.           "attributes": {
  1920.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1921.           }
  1922.         },
  1923.         "A23": {
  1924.           "hide_name": 0,
  1925.           "bits": [ 40 ],
  1926.           "attributes": {
  1927.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1928.           }
  1929.         },
  1930.         "A24": {
  1931.           "hide_name": 0,
  1932.           "bits": [ 41 ],
  1933.           "attributes": {
  1934.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1935.           }
  1936.         },
  1937.         "A25": {
  1938.           "hide_name": 0,
  1939.           "bits": [ 42 ],
  1940.           "attributes": {
  1941.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1942.           }
  1943.         },
  1944.         "A26": {
  1945.           "hide_name": 0,
  1946.           "bits": [ 43 ],
  1947.           "attributes": {
  1948.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1949.           }
  1950.         },
  1951.         "A27": {
  1952.           "hide_name": 0,
  1953.           "bits": [ 44 ],
  1954.           "attributes": {
  1955.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1956.           }
  1957.         },
  1958.         "A28": {
  1959.           "hide_name": 0,
  1960.           "bits": [ 45 ],
  1961.           "attributes": {
  1962.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1963.           }
  1964.         },
  1965.         "A29": {
  1966.           "hide_name": 0,
  1967.           "bits": [ 46 ],
  1968.           "attributes": {
  1969.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1970.           }
  1971.         },
  1972.         "A3": {
  1973.           "hide_name": 0,
  1974.           "bits": [ 20 ],
  1975.           "attributes": {
  1976.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1977.           }
  1978.         },
  1979.         "A30": {
  1980.           "hide_name": 0,
  1981.           "bits": [ 47 ],
  1982.           "attributes": {
  1983.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1984.           }
  1985.         },
  1986.         "A31": {
  1987.           "hide_name": 0,
  1988.           "bits": [ 48 ],
  1989.           "attributes": {
  1990.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1991.           }
  1992.         },
  1993.         "A32": {
  1994.           "hide_name": 0,
  1995.           "bits": [ 49 ],
  1996.           "attributes": {
  1997.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  1998.           }
  1999.         },
  2000.         "A33": {
  2001.           "hide_name": 0,
  2002.           "bits": [ 50 ],
  2003.           "attributes": {
  2004.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2005.           }
  2006.         },
  2007.         "A34": {
  2008.           "hide_name": 0,
  2009.           "bits": [ 51 ],
  2010.           "attributes": {
  2011.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2012.           }
  2013.         },
  2014.         "A35": {
  2015.           "hide_name": 0,
  2016.           "bits": [ 52 ],
  2017.           "attributes": {
  2018.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2019.           }
  2020.         },
  2021.         "A4": {
  2022.           "hide_name": 0,
  2023.           "bits": [ 21 ],
  2024.           "attributes": {
  2025.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2026.           }
  2027.         },
  2028.         "A5": {
  2029.           "hide_name": 0,
  2030.           "bits": [ 22 ],
  2031.           "attributes": {
  2032.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2033.           }
  2034.         },
  2035.         "A6": {
  2036.           "hide_name": 0,
  2037.           "bits": [ 23 ],
  2038.           "attributes": {
  2039.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2040.           }
  2041.         },
  2042.         "A7": {
  2043.           "hide_name": 0,
  2044.           "bits": [ 24 ],
  2045.           "attributes": {
  2046.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2047.           }
  2048.         },
  2049.         "A8": {
  2050.           "hide_name": 0,
  2051.           "bits": [ 25 ],
  2052.           "attributes": {
  2053.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2054.           }
  2055.         },
  2056.         "A9": {
  2057.           "hide_name": 0,
  2058.           "bits": [ 26 ],
  2059.           "attributes": {
  2060.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:49"
  2061.           }
  2062.         },
  2063.         "B0": {
  2064.           "hide_name": 0,
  2065.           "bits": [ 53 ],
  2066.           "attributes": {
  2067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2068.           }
  2069.         },
  2070.         "B1": {
  2071.           "hide_name": 0,
  2072.           "bits": [ 54 ],
  2073.           "attributes": {
  2074.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2075.           }
  2076.         },
  2077.         "B10": {
  2078.           "hide_name": 0,
  2079.           "bits": [ 63 ],
  2080.           "attributes": {
  2081.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2082.           }
  2083.         },
  2084.         "B11": {
  2085.           "hide_name": 0,
  2086.           "bits": [ 64 ],
  2087.           "attributes": {
  2088.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2089.           }
  2090.         },
  2091.         "B12": {
  2092.           "hide_name": 0,
  2093.           "bits": [ 65 ],
  2094.           "attributes": {
  2095.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2096.           }
  2097.         },
  2098.         "B13": {
  2099.           "hide_name": 0,
  2100.           "bits": [ 66 ],
  2101.           "attributes": {
  2102.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2103.           }
  2104.         },
  2105.         "B14": {
  2106.           "hide_name": 0,
  2107.           "bits": [ 67 ],
  2108.           "attributes": {
  2109.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2110.           }
  2111.         },
  2112.         "B15": {
  2113.           "hide_name": 0,
  2114.           "bits": [ 68 ],
  2115.           "attributes": {
  2116.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2117.           }
  2118.         },
  2119.         "B16": {
  2120.           "hide_name": 0,
  2121.           "bits": [ 69 ],
  2122.           "attributes": {
  2123.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2124.           }
  2125.         },
  2126.         "B17": {
  2127.           "hide_name": 0,
  2128.           "bits": [ 70 ],
  2129.           "attributes": {
  2130.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2131.           }
  2132.         },
  2133.         "B18": {
  2134.           "hide_name": 0,
  2135.           "bits": [ 71 ],
  2136.           "attributes": {
  2137.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2138.           }
  2139.         },
  2140.         "B19": {
  2141.           "hide_name": 0,
  2142.           "bits": [ 72 ],
  2143.           "attributes": {
  2144.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2145.           }
  2146.         },
  2147.         "B2": {
  2148.           "hide_name": 0,
  2149.           "bits": [ 55 ],
  2150.           "attributes": {
  2151.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2152.           }
  2153.         },
  2154.         "B20": {
  2155.           "hide_name": 0,
  2156.           "bits": [ 73 ],
  2157.           "attributes": {
  2158.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2159.           }
  2160.         },
  2161.         "B21": {
  2162.           "hide_name": 0,
  2163.           "bits": [ 74 ],
  2164.           "attributes": {
  2165.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2166.           }
  2167.         },
  2168.         "B22": {
  2169.           "hide_name": 0,
  2170.           "bits": [ 75 ],
  2171.           "attributes": {
  2172.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2173.           }
  2174.         },
  2175.         "B23": {
  2176.           "hide_name": 0,
  2177.           "bits": [ 76 ],
  2178.           "attributes": {
  2179.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2180.           }
  2181.         },
  2182.         "B24": {
  2183.           "hide_name": 0,
  2184.           "bits": [ 77 ],
  2185.           "attributes": {
  2186.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2187.           }
  2188.         },
  2189.         "B25": {
  2190.           "hide_name": 0,
  2191.           "bits": [ 78 ],
  2192.           "attributes": {
  2193.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2194.           }
  2195.         },
  2196.         "B26": {
  2197.           "hide_name": 0,
  2198.           "bits": [ 79 ],
  2199.           "attributes": {
  2200.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2201.           }
  2202.         },
  2203.         "B27": {
  2204.           "hide_name": 0,
  2205.           "bits": [ 80 ],
  2206.           "attributes": {
  2207.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2208.           }
  2209.         },
  2210.         "B28": {
  2211.           "hide_name": 0,
  2212.           "bits": [ 81 ],
  2213.           "attributes": {
  2214.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2215.           }
  2216.         },
  2217.         "B29": {
  2218.           "hide_name": 0,
  2219.           "bits": [ 82 ],
  2220.           "attributes": {
  2221.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2222.           }
  2223.         },
  2224.         "B3": {
  2225.           "hide_name": 0,
  2226.           "bits": [ 56 ],
  2227.           "attributes": {
  2228.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2229.           }
  2230.         },
  2231.         "B30": {
  2232.           "hide_name": 0,
  2233.           "bits": [ 83 ],
  2234.           "attributes": {
  2235.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2236.           }
  2237.         },
  2238.         "B31": {
  2239.           "hide_name": 0,
  2240.           "bits": [ 84 ],
  2241.           "attributes": {
  2242.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2243.           }
  2244.         },
  2245.         "B32": {
  2246.           "hide_name": 0,
  2247.           "bits": [ 85 ],
  2248.           "attributes": {
  2249.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2250.           }
  2251.         },
  2252.         "B33": {
  2253.           "hide_name": 0,
  2254.           "bits": [ 86 ],
  2255.           "attributes": {
  2256.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2257.           }
  2258.         },
  2259.         "B34": {
  2260.           "hide_name": 0,
  2261.           "bits": [ 87 ],
  2262.           "attributes": {
  2263.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2264.           }
  2265.         },
  2266.         "B35": {
  2267.           "hide_name": 0,
  2268.           "bits": [ 88 ],
  2269.           "attributes": {
  2270.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2271.           }
  2272.         },
  2273.         "B4": {
  2274.           "hide_name": 0,
  2275.           "bits": [ 57 ],
  2276.           "attributes": {
  2277.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2278.           }
  2279.         },
  2280.         "B5": {
  2281.           "hide_name": 0,
  2282.           "bits": [ 58 ],
  2283.           "attributes": {
  2284.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2285.           }
  2286.         },
  2287.         "B6": {
  2288.           "hide_name": 0,
  2289.           "bits": [ 59 ],
  2290.           "attributes": {
  2291.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2292.           }
  2293.         },
  2294.         "B7": {
  2295.           "hide_name": 0,
  2296.           "bits": [ 60 ],
  2297.           "attributes": {
  2298.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2299.           }
  2300.         },
  2301.         "B8": {
  2302.           "hide_name": 0,
  2303.           "bits": [ 61 ],
  2304.           "attributes": {
  2305.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2306.           }
  2307.         },
  2308.         "B9": {
  2309.           "hide_name": 0,
  2310.           "bits": [ 62 ],
  2311.           "attributes": {
  2312.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:50"
  2313.           }
  2314.         },
  2315.         "C0": {
  2316.           "hide_name": 0,
  2317.           "bits": [ 89 ],
  2318.           "attributes": {
  2319.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2320.           }
  2321.         },
  2322.         "C1": {
  2323.           "hide_name": 0,
  2324.           "bits": [ 90 ],
  2325.           "attributes": {
  2326.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2327.           }
  2328.         },
  2329.         "C10": {
  2330.           "hide_name": 0,
  2331.           "bits": [ 99 ],
  2332.           "attributes": {
  2333.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2334.           }
  2335.         },
  2336.         "C11": {
  2337.           "hide_name": 0,
  2338.           "bits": [ 100 ],
  2339.           "attributes": {
  2340.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2341.           }
  2342.         },
  2343.         "C12": {
  2344.           "hide_name": 0,
  2345.           "bits": [ 101 ],
  2346.           "attributes": {
  2347.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2348.           }
  2349.         },
  2350.         "C13": {
  2351.           "hide_name": 0,
  2352.           "bits": [ 102 ],
  2353.           "attributes": {
  2354.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2355.           }
  2356.         },
  2357.         "C14": {
  2358.           "hide_name": 0,
  2359.           "bits": [ 103 ],
  2360.           "attributes": {
  2361.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2362.           }
  2363.         },
  2364.         "C15": {
  2365.           "hide_name": 0,
  2366.           "bits": [ 104 ],
  2367.           "attributes": {
  2368.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2369.           }
  2370.         },
  2371.         "C16": {
  2372.           "hide_name": 0,
  2373.           "bits": [ 105 ],
  2374.           "attributes": {
  2375.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2376.           }
  2377.         },
  2378.         "C17": {
  2379.           "hide_name": 0,
  2380.           "bits": [ 106 ],
  2381.           "attributes": {
  2382.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2383.           }
  2384.         },
  2385.         "C18": {
  2386.           "hide_name": 0,
  2387.           "bits": [ 107 ],
  2388.           "attributes": {
  2389.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2390.           }
  2391.         },
  2392.         "C19": {
  2393.           "hide_name": 0,
  2394.           "bits": [ 108 ],
  2395.           "attributes": {
  2396.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2397.           }
  2398.         },
  2399.         "C2": {
  2400.           "hide_name": 0,
  2401.           "bits": [ 91 ],
  2402.           "attributes": {
  2403.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2404.           }
  2405.         },
  2406.         "C20": {
  2407.           "hide_name": 0,
  2408.           "bits": [ 109 ],
  2409.           "attributes": {
  2410.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2411.           }
  2412.         },
  2413.         "C21": {
  2414.           "hide_name": 0,
  2415.           "bits": [ 110 ],
  2416.           "attributes": {
  2417.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2418.           }
  2419.         },
  2420.         "C22": {
  2421.           "hide_name": 0,
  2422.           "bits": [ 111 ],
  2423.           "attributes": {
  2424.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2425.           }
  2426.         },
  2427.         "C23": {
  2428.           "hide_name": 0,
  2429.           "bits": [ 112 ],
  2430.           "attributes": {
  2431.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2432.           }
  2433.         },
  2434.         "C24": {
  2435.           "hide_name": 0,
  2436.           "bits": [ 113 ],
  2437.           "attributes": {
  2438.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2439.           }
  2440.         },
  2441.         "C25": {
  2442.           "hide_name": 0,
  2443.           "bits": [ 114 ],
  2444.           "attributes": {
  2445.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2446.           }
  2447.         },
  2448.         "C26": {
  2449.           "hide_name": 0,
  2450.           "bits": [ 115 ],
  2451.           "attributes": {
  2452.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2453.           }
  2454.         },
  2455.         "C27": {
  2456.           "hide_name": 0,
  2457.           "bits": [ 116 ],
  2458.           "attributes": {
  2459.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2460.           }
  2461.         },
  2462.         "C28": {
  2463.           "hide_name": 0,
  2464.           "bits": [ 117 ],
  2465.           "attributes": {
  2466.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2467.           }
  2468.         },
  2469.         "C29": {
  2470.           "hide_name": 0,
  2471.           "bits": [ 118 ],
  2472.           "attributes": {
  2473.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2474.           }
  2475.         },
  2476.         "C3": {
  2477.           "hide_name": 0,
  2478.           "bits": [ 92 ],
  2479.           "attributes": {
  2480.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2481.           }
  2482.         },
  2483.         "C30": {
  2484.           "hide_name": 0,
  2485.           "bits": [ 119 ],
  2486.           "attributes": {
  2487.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2488.           }
  2489.         },
  2490.         "C31": {
  2491.           "hide_name": 0,
  2492.           "bits": [ 120 ],
  2493.           "attributes": {
  2494.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2495.           }
  2496.         },
  2497.         "C32": {
  2498.           "hide_name": 0,
  2499.           "bits": [ 121 ],
  2500.           "attributes": {
  2501.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2502.           }
  2503.         },
  2504.         "C33": {
  2505.           "hide_name": 0,
  2506.           "bits": [ 122 ],
  2507.           "attributes": {
  2508.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2509.           }
  2510.         },
  2511.         "C34": {
  2512.           "hide_name": 0,
  2513.           "bits": [ 123 ],
  2514.           "attributes": {
  2515.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2516.           }
  2517.         },
  2518.         "C35": {
  2519.           "hide_name": 0,
  2520.           "bits": [ 124 ],
  2521.           "attributes": {
  2522.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2523.           }
  2524.         },
  2525.         "C36": {
  2526.           "hide_name": 0,
  2527.           "bits": [ 125 ],
  2528.           "attributes": {
  2529.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2530.           }
  2531.         },
  2532.         "C37": {
  2533.           "hide_name": 0,
  2534.           "bits": [ 126 ],
  2535.           "attributes": {
  2536.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2537.           }
  2538.         },
  2539.         "C38": {
  2540.           "hide_name": 0,
  2541.           "bits": [ 127 ],
  2542.           "attributes": {
  2543.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2544.           }
  2545.         },
  2546.         "C39": {
  2547.           "hide_name": 0,
  2548.           "bits": [ 128 ],
  2549.           "attributes": {
  2550.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2551.           }
  2552.         },
  2553.         "C4": {
  2554.           "hide_name": 0,
  2555.           "bits": [ 93 ],
  2556.           "attributes": {
  2557.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2558.           }
  2559.         },
  2560.         "C40": {
  2561.           "hide_name": 0,
  2562.           "bits": [ 129 ],
  2563.           "attributes": {
  2564.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2565.           }
  2566.         },
  2567.         "C41": {
  2568.           "hide_name": 0,
  2569.           "bits": [ 130 ],
  2570.           "attributes": {
  2571.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2572.           }
  2573.         },
  2574.         "C42": {
  2575.           "hide_name": 0,
  2576.           "bits": [ 131 ],
  2577.           "attributes": {
  2578.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2579.           }
  2580.         },
  2581.         "C43": {
  2582.           "hide_name": 0,
  2583.           "bits": [ 132 ],
  2584.           "attributes": {
  2585.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2586.           }
  2587.         },
  2588.         "C44": {
  2589.           "hide_name": 0,
  2590.           "bits": [ 133 ],
  2591.           "attributes": {
  2592.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2593.           }
  2594.         },
  2595.         "C45": {
  2596.           "hide_name": 0,
  2597.           "bits": [ 134 ],
  2598.           "attributes": {
  2599.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2600.           }
  2601.         },
  2602.         "C46": {
  2603.           "hide_name": 0,
  2604.           "bits": [ 135 ],
  2605.           "attributes": {
  2606.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2607.           }
  2608.         },
  2609.         "C47": {
  2610.           "hide_name": 0,
  2611.           "bits": [ 136 ],
  2612.           "attributes": {
  2613.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2614.           }
  2615.         },
  2616.         "C48": {
  2617.           "hide_name": 0,
  2618.           "bits": [ 137 ],
  2619.           "attributes": {
  2620.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2621.           }
  2622.         },
  2623.         "C49": {
  2624.           "hide_name": 0,
  2625.           "bits": [ 138 ],
  2626.           "attributes": {
  2627.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2628.           }
  2629.         },
  2630.         "C5": {
  2631.           "hide_name": 0,
  2632.           "bits": [ 94 ],
  2633.           "attributes": {
  2634.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2635.           }
  2636.         },
  2637.         "C50": {
  2638.           "hide_name": 0,
  2639.           "bits": [ 139 ],
  2640.           "attributes": {
  2641.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2642.           }
  2643.         },
  2644.         "C51": {
  2645.           "hide_name": 0,
  2646.           "bits": [ 140 ],
  2647.           "attributes": {
  2648.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2649.           }
  2650.         },
  2651.         "C52": {
  2652.           "hide_name": 0,
  2653.           "bits": [ 141 ],
  2654.           "attributes": {
  2655.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2656.           }
  2657.         },
  2658.         "C53": {
  2659.           "hide_name": 0,
  2660.           "bits": [ 142 ],
  2661.           "attributes": {
  2662.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2663.           }
  2664.         },
  2665.         "C6": {
  2666.           "hide_name": 0,
  2667.           "bits": [ 95 ],
  2668.           "attributes": {
  2669.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2670.           }
  2671.         },
  2672.         "C7": {
  2673.           "hide_name": 0,
  2674.           "bits": [ 96 ],
  2675.           "attributes": {
  2676.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2677.           }
  2678.         },
  2679.         "C8": {
  2680.           "hide_name": 0,
  2681.           "bits": [ 97 ],
  2682.           "attributes": {
  2683.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2684.           }
  2685.         },
  2686.         "C9": {
  2687.           "hide_name": 0,
  2688.           "bits": [ 98 ],
  2689.           "attributes": {
  2690.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:51"
  2691.           }
  2692.         },
  2693.         "CE0": {
  2694.           "hide_name": 0,
  2695.           "bits": [ 6 ],
  2696.           "attributes": {
  2697.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46"
  2698.           }
  2699.         },
  2700.         "CE1": {
  2701.           "hide_name": 0,
  2702.           "bits": [ 7 ],
  2703.           "attributes": {
  2704.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46"
  2705.           }
  2706.         },
  2707.         "CE2": {
  2708.           "hide_name": 0,
  2709.           "bits": [ 8 ],
  2710.           "attributes": {
  2711.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46"
  2712.           }
  2713.         },
  2714.         "CE3": {
  2715.           "hide_name": 0,
  2716.           "bits": [ 9 ],
  2717.           "attributes": {
  2718.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:46"
  2719.           }
  2720.         },
  2721.         "CFB0": {
  2722.           "hide_name": 0,
  2723.           "bits": [ 143 ],
  2724.           "attributes": {
  2725.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2726.           }
  2727.         },
  2728.         "CFB1": {
  2729.           "hide_name": 0,
  2730.           "bits": [ 144 ],
  2731.           "attributes": {
  2732.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2733.           }
  2734.         },
  2735.         "CFB10": {
  2736.           "hide_name": 0,
  2737.           "bits": [ 153 ],
  2738.           "attributes": {
  2739.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2740.           }
  2741.         },
  2742.         "CFB11": {
  2743.           "hide_name": 0,
  2744.           "bits": [ 154 ],
  2745.           "attributes": {
  2746.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2747.           }
  2748.         },
  2749.         "CFB12": {
  2750.           "hide_name": 0,
  2751.           "bits": [ 155 ],
  2752.           "attributes": {
  2753.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2754.           }
  2755.         },
  2756.         "CFB13": {
  2757.           "hide_name": 0,
  2758.           "bits": [ 156 ],
  2759.           "attributes": {
  2760.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2761.           }
  2762.         },
  2763.         "CFB14": {
  2764.           "hide_name": 0,
  2765.           "bits": [ 157 ],
  2766.           "attributes": {
  2767.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2768.           }
  2769.         },
  2770.         "CFB15": {
  2771.           "hide_name": 0,
  2772.           "bits": [ 158 ],
  2773.           "attributes": {
  2774.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2775.           }
  2776.         },
  2777.         "CFB16": {
  2778.           "hide_name": 0,
  2779.           "bits": [ 159 ],
  2780.           "attributes": {
  2781.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2782.           }
  2783.         },
  2784.         "CFB17": {
  2785.           "hide_name": 0,
  2786.           "bits": [ 160 ],
  2787.           "attributes": {
  2788.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2789.           }
  2790.         },
  2791.         "CFB18": {
  2792.           "hide_name": 0,
  2793.           "bits": [ 161 ],
  2794.           "attributes": {
  2795.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2796.           }
  2797.         },
  2798.         "CFB19": {
  2799.           "hide_name": 0,
  2800.           "bits": [ 162 ],
  2801.           "attributes": {
  2802.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2803.           }
  2804.         },
  2805.         "CFB2": {
  2806.           "hide_name": 0,
  2807.           "bits": [ 145 ],
  2808.           "attributes": {
  2809.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2810.           }
  2811.         },
  2812.         "CFB20": {
  2813.           "hide_name": 0,
  2814.           "bits": [ 163 ],
  2815.           "attributes": {
  2816.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2817.           }
  2818.         },
  2819.         "CFB21": {
  2820.           "hide_name": 0,
  2821.           "bits": [ 164 ],
  2822.           "attributes": {
  2823.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2824.           }
  2825.         },
  2826.         "CFB22": {
  2827.           "hide_name": 0,
  2828.           "bits": [ 165 ],
  2829.           "attributes": {
  2830.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2831.           }
  2832.         },
  2833.         "CFB23": {
  2834.           "hide_name": 0,
  2835.           "bits": [ 166 ],
  2836.           "attributes": {
  2837.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2838.           }
  2839.         },
  2840.         "CFB24": {
  2841.           "hide_name": 0,
  2842.           "bits": [ 167 ],
  2843.           "attributes": {
  2844.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2845.           }
  2846.         },
  2847.         "CFB25": {
  2848.           "hide_name": 0,
  2849.           "bits": [ 168 ],
  2850.           "attributes": {
  2851.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2852.           }
  2853.         },
  2854.         "CFB26": {
  2855.           "hide_name": 0,
  2856.           "bits": [ 169 ],
  2857.           "attributes": {
  2858.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2859.           }
  2860.         },
  2861.         "CFB27": {
  2862.           "hide_name": 0,
  2863.           "bits": [ 170 ],
  2864.           "attributes": {
  2865.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2866.           }
  2867.         },
  2868.         "CFB28": {
  2869.           "hide_name": 0,
  2870.           "bits": [ 171 ],
  2871.           "attributes": {
  2872.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2873.           }
  2874.         },
  2875.         "CFB29": {
  2876.           "hide_name": 0,
  2877.           "bits": [ 172 ],
  2878.           "attributes": {
  2879.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2880.           }
  2881.         },
  2882.         "CFB3": {
  2883.           "hide_name": 0,
  2884.           "bits": [ 146 ],
  2885.           "attributes": {
  2886.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2887.           }
  2888.         },
  2889.         "CFB30": {
  2890.           "hide_name": 0,
  2891.           "bits": [ 173 ],
  2892.           "attributes": {
  2893.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2894.           }
  2895.         },
  2896.         "CFB31": {
  2897.           "hide_name": 0,
  2898.           "bits": [ 174 ],
  2899.           "attributes": {
  2900.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2901.           }
  2902.         },
  2903.         "CFB32": {
  2904.           "hide_name": 0,
  2905.           "bits": [ 175 ],
  2906.           "attributes": {
  2907.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2908.           }
  2909.         },
  2910.         "CFB33": {
  2911.           "hide_name": 0,
  2912.           "bits": [ 176 ],
  2913.           "attributes": {
  2914.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2915.           }
  2916.         },
  2917.         "CFB34": {
  2918.           "hide_name": 0,
  2919.           "bits": [ 177 ],
  2920.           "attributes": {
  2921.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2922.           }
  2923.         },
  2924.         "CFB35": {
  2925.           "hide_name": 0,
  2926.           "bits": [ 178 ],
  2927.           "attributes": {
  2928.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2929.           }
  2930.         },
  2931.         "CFB36": {
  2932.           "hide_name": 0,
  2933.           "bits": [ 179 ],
  2934.           "attributes": {
  2935.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2936.           }
  2937.         },
  2938.         "CFB37": {
  2939.           "hide_name": 0,
  2940.           "bits": [ 180 ],
  2941.           "attributes": {
  2942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2943.           }
  2944.         },
  2945.         "CFB38": {
  2946.           "hide_name": 0,
  2947.           "bits": [ 181 ],
  2948.           "attributes": {
  2949.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2950.           }
  2951.         },
  2952.         "CFB39": {
  2953.           "hide_name": 0,
  2954.           "bits": [ 182 ],
  2955.           "attributes": {
  2956.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2957.           }
  2958.         },
  2959.         "CFB4": {
  2960.           "hide_name": 0,
  2961.           "bits": [ 147 ],
  2962.           "attributes": {
  2963.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2964.           }
  2965.         },
  2966.         "CFB40": {
  2967.           "hide_name": 0,
  2968.           "bits": [ 183 ],
  2969.           "attributes": {
  2970.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2971.           }
  2972.         },
  2973.         "CFB41": {
  2974.           "hide_name": 0,
  2975.           "bits": [ 184 ],
  2976.           "attributes": {
  2977.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2978.           }
  2979.         },
  2980.         "CFB42": {
  2981.           "hide_name": 0,
  2982.           "bits": [ 185 ],
  2983.           "attributes": {
  2984.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2985.           }
  2986.         },
  2987.         "CFB43": {
  2988.           "hide_name": 0,
  2989.           "bits": [ 186 ],
  2990.           "attributes": {
  2991.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2992.           }
  2993.         },
  2994.         "CFB44": {
  2995.           "hide_name": 0,
  2996.           "bits": [ 187 ],
  2997.           "attributes": {
  2998.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  2999.           }
  3000.         },
  3001.         "CFB45": {
  3002.           "hide_name": 0,
  3003.           "bits": [ 188 ],
  3004.           "attributes": {
  3005.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3006.           }
  3007.         },
  3008.         "CFB46": {
  3009.           "hide_name": 0,
  3010.           "bits": [ 189 ],
  3011.           "attributes": {
  3012.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3013.           }
  3014.         },
  3015.         "CFB47": {
  3016.           "hide_name": 0,
  3017.           "bits": [ 190 ],
  3018.           "attributes": {
  3019.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3020.           }
  3021.         },
  3022.         "CFB48": {
  3023.           "hide_name": 0,
  3024.           "bits": [ 191 ],
  3025.           "attributes": {
  3026.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3027.           }
  3028.         },
  3029.         "CFB49": {
  3030.           "hide_name": 0,
  3031.           "bits": [ 192 ],
  3032.           "attributes": {
  3033.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3034.           }
  3035.         },
  3036.         "CFB5": {
  3037.           "hide_name": 0,
  3038.           "bits": [ 148 ],
  3039.           "attributes": {
  3040.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3041.           }
  3042.         },
  3043.         "CFB50": {
  3044.           "hide_name": 0,
  3045.           "bits": [ 193 ],
  3046.           "attributes": {
  3047.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3048.           }
  3049.         },
  3050.         "CFB51": {
  3051.           "hide_name": 0,
  3052.           "bits": [ 194 ],
  3053.           "attributes": {
  3054.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3055.           }
  3056.         },
  3057.         "CFB52": {
  3058.           "hide_name": 0,
  3059.           "bits": [ 195 ],
  3060.           "attributes": {
  3061.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3062.           }
  3063.         },
  3064.         "CFB53": {
  3065.           "hide_name": 0,
  3066.           "bits": [ 196 ],
  3067.           "attributes": {
  3068.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3069.           }
  3070.         },
  3071.         "CFB6": {
  3072.           "hide_name": 0,
  3073.           "bits": [ 149 ],
  3074.           "attributes": {
  3075.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3076.           }
  3077.         },
  3078.         "CFB7": {
  3079.           "hide_name": 0,
  3080.           "bits": [ 150 ],
  3081.           "attributes": {
  3082.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3083.           }
  3084.         },
  3085.         "CFB8": {
  3086.           "hide_name": 0,
  3087.           "bits": [ 151 ],
  3088.           "attributes": {
  3089.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3090.           }
  3091.         },
  3092.         "CFB9": {
  3093.           "hide_name": 0,
  3094.           "bits": [ 152 ],
  3095.           "attributes": {
  3096.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:52"
  3097.           }
  3098.         },
  3099.         "CIN0": {
  3100.           "hide_name": 0,
  3101.           "bits": [ 269 ],
  3102.           "attributes": {
  3103.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3104.           }
  3105.         },
  3106.         "CIN1": {
  3107.           "hide_name": 0,
  3108.           "bits": [ 270 ],
  3109.           "attributes": {
  3110.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3111.           }
  3112.         },
  3113.         "CIN10": {
  3114.           "hide_name": 0,
  3115.           "bits": [ 279 ],
  3116.           "attributes": {
  3117.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3118.           }
  3119.         },
  3120.         "CIN11": {
  3121.           "hide_name": 0,
  3122.           "bits": [ 280 ],
  3123.           "attributes": {
  3124.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3125.           }
  3126.         },
  3127.         "CIN12": {
  3128.           "hide_name": 0,
  3129.           "bits": [ 281 ],
  3130.           "attributes": {
  3131.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3132.           }
  3133.         },
  3134.         "CIN13": {
  3135.           "hide_name": 0,
  3136.           "bits": [ 282 ],
  3137.           "attributes": {
  3138.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3139.           }
  3140.         },
  3141.         "CIN14": {
  3142.           "hide_name": 0,
  3143.           "bits": [ 283 ],
  3144.           "attributes": {
  3145.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3146.           }
  3147.         },
  3148.         "CIN15": {
  3149.           "hide_name": 0,
  3150.           "bits": [ 284 ],
  3151.           "attributes": {
  3152.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3153.           }
  3154.         },
  3155.         "CIN16": {
  3156.           "hide_name": 0,
  3157.           "bits": [ 285 ],
  3158.           "attributes": {
  3159.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3160.           }
  3161.         },
  3162.         "CIN17": {
  3163.           "hide_name": 0,
  3164.           "bits": [ 286 ],
  3165.           "attributes": {
  3166.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3167.           }
  3168.         },
  3169.         "CIN18": {
  3170.           "hide_name": 0,
  3171.           "bits": [ 287 ],
  3172.           "attributes": {
  3173.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3174.           }
  3175.         },
  3176.         "CIN19": {
  3177.           "hide_name": 0,
  3178.           "bits": [ 288 ],
  3179.           "attributes": {
  3180.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3181.           }
  3182.         },
  3183.         "CIN2": {
  3184.           "hide_name": 0,
  3185.           "bits": [ 271 ],
  3186.           "attributes": {
  3187.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3188.           }
  3189.         },
  3190.         "CIN20": {
  3191.           "hide_name": 0,
  3192.           "bits": [ 289 ],
  3193.           "attributes": {
  3194.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3195.           }
  3196.         },
  3197.         "CIN21": {
  3198.           "hide_name": 0,
  3199.           "bits": [ 290 ],
  3200.           "attributes": {
  3201.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3202.           }
  3203.         },
  3204.         "CIN22": {
  3205.           "hide_name": 0,
  3206.           "bits": [ 291 ],
  3207.           "attributes": {
  3208.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3209.           }
  3210.         },
  3211.         "CIN23": {
  3212.           "hide_name": 0,
  3213.           "bits": [ 292 ],
  3214.           "attributes": {
  3215.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3216.           }
  3217.         },
  3218.         "CIN24": {
  3219.           "hide_name": 0,
  3220.           "bits": [ 293 ],
  3221.           "attributes": {
  3222.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3223.           }
  3224.         },
  3225.         "CIN25": {
  3226.           "hide_name": 0,
  3227.           "bits": [ 294 ],
  3228.           "attributes": {
  3229.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3230.           }
  3231.         },
  3232.         "CIN26": {
  3233.           "hide_name": 0,
  3234.           "bits": [ 295 ],
  3235.           "attributes": {
  3236.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3237.           }
  3238.         },
  3239.         "CIN27": {
  3240.           "hide_name": 0,
  3241.           "bits": [ 296 ],
  3242.           "attributes": {
  3243.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3244.           }
  3245.         },
  3246.         "CIN28": {
  3247.           "hide_name": 0,
  3248.           "bits": [ 297 ],
  3249.           "attributes": {
  3250.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3251.           }
  3252.         },
  3253.         "CIN29": {
  3254.           "hide_name": 0,
  3255.           "bits": [ 298 ],
  3256.           "attributes": {
  3257.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3258.           }
  3259.         },
  3260.         "CIN3": {
  3261.           "hide_name": 0,
  3262.           "bits": [ 272 ],
  3263.           "attributes": {
  3264.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3265.           }
  3266.         },
  3267.         "CIN30": {
  3268.           "hide_name": 0,
  3269.           "bits": [ 299 ],
  3270.           "attributes": {
  3271.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3272.           }
  3273.         },
  3274.         "CIN31": {
  3275.           "hide_name": 0,
  3276.           "bits": [ 300 ],
  3277.           "attributes": {
  3278.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3279.           }
  3280.         },
  3281.         "CIN32": {
  3282.           "hide_name": 0,
  3283.           "bits": [ 301 ],
  3284.           "attributes": {
  3285.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3286.           }
  3287.         },
  3288.         "CIN33": {
  3289.           "hide_name": 0,
  3290.           "bits": [ 302 ],
  3291.           "attributes": {
  3292.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3293.           }
  3294.         },
  3295.         "CIN34": {
  3296.           "hide_name": 0,
  3297.           "bits": [ 303 ],
  3298.           "attributes": {
  3299.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3300.           }
  3301.         },
  3302.         "CIN35": {
  3303.           "hide_name": 0,
  3304.           "bits": [ 304 ],
  3305.           "attributes": {
  3306.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3307.           }
  3308.         },
  3309.         "CIN36": {
  3310.           "hide_name": 0,
  3311.           "bits": [ 305 ],
  3312.           "attributes": {
  3313.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3314.           }
  3315.         },
  3316.         "CIN37": {
  3317.           "hide_name": 0,
  3318.           "bits": [ 306 ],
  3319.           "attributes": {
  3320.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3321.           }
  3322.         },
  3323.         "CIN38": {
  3324.           "hide_name": 0,
  3325.           "bits": [ 307 ],
  3326.           "attributes": {
  3327.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3328.           }
  3329.         },
  3330.         "CIN39": {
  3331.           "hide_name": 0,
  3332.           "bits": [ 308 ],
  3333.           "attributes": {
  3334.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3335.           }
  3336.         },
  3337.         "CIN4": {
  3338.           "hide_name": 0,
  3339.           "bits": [ 273 ],
  3340.           "attributes": {
  3341.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3342.           }
  3343.         },
  3344.         "CIN40": {
  3345.           "hide_name": 0,
  3346.           "bits": [ 309 ],
  3347.           "attributes": {
  3348.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3349.           }
  3350.         },
  3351.         "CIN41": {
  3352.           "hide_name": 0,
  3353.           "bits": [ 310 ],
  3354.           "attributes": {
  3355.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3356.           }
  3357.         },
  3358.         "CIN42": {
  3359.           "hide_name": 0,
  3360.           "bits": [ 311 ],
  3361.           "attributes": {
  3362.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3363.           }
  3364.         },
  3365.         "CIN43": {
  3366.           "hide_name": 0,
  3367.           "bits": [ 312 ],
  3368.           "attributes": {
  3369.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3370.           }
  3371.         },
  3372.         "CIN44": {
  3373.           "hide_name": 0,
  3374.           "bits": [ 313 ],
  3375.           "attributes": {
  3376.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3377.           }
  3378.         },
  3379.         "CIN45": {
  3380.           "hide_name": 0,
  3381.           "bits": [ 314 ],
  3382.           "attributes": {
  3383.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3384.           }
  3385.         },
  3386.         "CIN46": {
  3387.           "hide_name": 0,
  3388.           "bits": [ 315 ],
  3389.           "attributes": {
  3390.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3391.           }
  3392.         },
  3393.         "CIN47": {
  3394.           "hide_name": 0,
  3395.           "bits": [ 316 ],
  3396.           "attributes": {
  3397.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3398.           }
  3399.         },
  3400.         "CIN48": {
  3401.           "hide_name": 0,
  3402.           "bits": [ 317 ],
  3403.           "attributes": {
  3404.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3405.           }
  3406.         },
  3407.         "CIN49": {
  3408.           "hide_name": 0,
  3409.           "bits": [ 318 ],
  3410.           "attributes": {
  3411.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3412.           }
  3413.         },
  3414.         "CIN5": {
  3415.           "hide_name": 0,
  3416.           "bits": [ 274 ],
  3417.           "attributes": {
  3418.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3419.           }
  3420.         },
  3421.         "CIN50": {
  3422.           "hide_name": 0,
  3423.           "bits": [ 319 ],
  3424.           "attributes": {
  3425.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3426.           }
  3427.         },
  3428.         "CIN51": {
  3429.           "hide_name": 0,
  3430.           "bits": [ 320 ],
  3431.           "attributes": {
  3432.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3433.           }
  3434.         },
  3435.         "CIN52": {
  3436.           "hide_name": 0,
  3437.           "bits": [ 321 ],
  3438.           "attributes": {
  3439.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3440.           }
  3441.         },
  3442.         "CIN53": {
  3443.           "hide_name": 0,
  3444.           "bits": [ 322 ],
  3445.           "attributes": {
  3446.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3447.           }
  3448.         },
  3449.         "CIN6": {
  3450.           "hide_name": 0,
  3451.           "bits": [ 275 ],
  3452.           "attributes": {
  3453.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3454.           }
  3455.         },
  3456.         "CIN7": {
  3457.           "hide_name": 0,
  3458.           "bits": [ 276 ],
  3459.           "attributes": {
  3460.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3461.           }
  3462.         },
  3463.         "CIN8": {
  3464.           "hide_name": 0,
  3465.           "bits": [ 277 ],
  3466.           "attributes": {
  3467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3468.           }
  3469.         },
  3470.         "CIN9": {
  3471.           "hide_name": 0,
  3472.           "bits": [ 278 ],
  3473.           "attributes": {
  3474.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:55"
  3475.           }
  3476.         },
  3477.         "CLK0": {
  3478.           "hide_name": 0,
  3479.           "bits": [ 2 ],
  3480.           "attributes": {
  3481.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45"
  3482.           }
  3483.         },
  3484.         "CLK1": {
  3485.           "hide_name": 0,
  3486.           "bits": [ 3 ],
  3487.           "attributes": {
  3488.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45"
  3489.           }
  3490.         },
  3491.         "CLK2": {
  3492.           "hide_name": 0,
  3493.           "bits": [ 4 ],
  3494.           "attributes": {
  3495.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45"
  3496.           }
  3497.         },
  3498.         "CLK3": {
  3499.           "hide_name": 0,
  3500.           "bits": [ 5 ],
  3501.           "attributes": {
  3502.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:45"
  3503.           }
  3504.         },
  3505.         "CO0": {
  3506.           "hide_name": 0,
  3507.           "bits": [ 388 ],
  3508.           "attributes": {
  3509.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3510.           }
  3511.         },
  3512.         "CO1": {
  3513.           "hide_name": 0,
  3514.           "bits": [ 389 ],
  3515.           "attributes": {
  3516.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3517.           }
  3518.         },
  3519.         "CO10": {
  3520.           "hide_name": 0,
  3521.           "bits": [ 398 ],
  3522.           "attributes": {
  3523.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3524.           }
  3525.         },
  3526.         "CO11": {
  3527.           "hide_name": 0,
  3528.           "bits": [ 399 ],
  3529.           "attributes": {
  3530.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3531.           }
  3532.         },
  3533.         "CO12": {
  3534.           "hide_name": 0,
  3535.           "bits": [ 400 ],
  3536.           "attributes": {
  3537.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3538.           }
  3539.         },
  3540.         "CO13": {
  3541.           "hide_name": 0,
  3542.           "bits": [ 401 ],
  3543.           "attributes": {
  3544.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3545.           }
  3546.         },
  3547.         "CO14": {
  3548.           "hide_name": 0,
  3549.           "bits": [ 402 ],
  3550.           "attributes": {
  3551.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3552.           }
  3553.         },
  3554.         "CO15": {
  3555.           "hide_name": 0,
  3556.           "bits": [ 403 ],
  3557.           "attributes": {
  3558.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3559.           }
  3560.         },
  3561.         "CO16": {
  3562.           "hide_name": 0,
  3563.           "bits": [ 404 ],
  3564.           "attributes": {
  3565.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3566.           }
  3567.         },
  3568.         "CO17": {
  3569.           "hide_name": 0,
  3570.           "bits": [ 405 ],
  3571.           "attributes": {
  3572.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3573.           }
  3574.         },
  3575.         "CO18": {
  3576.           "hide_name": 0,
  3577.           "bits": [ 406 ],
  3578.           "attributes": {
  3579.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3580.           }
  3581.         },
  3582.         "CO19": {
  3583.           "hide_name": 0,
  3584.           "bits": [ 407 ],
  3585.           "attributes": {
  3586.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3587.           }
  3588.         },
  3589.         "CO2": {
  3590.           "hide_name": 0,
  3591.           "bits": [ 390 ],
  3592.           "attributes": {
  3593.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3594.           }
  3595.         },
  3596.         "CO20": {
  3597.           "hide_name": 0,
  3598.           "bits": [ 408 ],
  3599.           "attributes": {
  3600.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3601.           }
  3602.         },
  3603.         "CO21": {
  3604.           "hide_name": 0,
  3605.           "bits": [ 409 ],
  3606.           "attributes": {
  3607.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3608.           }
  3609.         },
  3610.         "CO22": {
  3611.           "hide_name": 0,
  3612.           "bits": [ 410 ],
  3613.           "attributes": {
  3614.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3615.           }
  3616.         },
  3617.         "CO23": {
  3618.           "hide_name": 0,
  3619.           "bits": [ 411 ],
  3620.           "attributes": {
  3621.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3622.           }
  3623.         },
  3624.         "CO24": {
  3625.           "hide_name": 0,
  3626.           "bits": [ 412 ],
  3627.           "attributes": {
  3628.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3629.           }
  3630.         },
  3631.         "CO25": {
  3632.           "hide_name": 0,
  3633.           "bits": [ 413 ],
  3634.           "attributes": {
  3635.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3636.           }
  3637.         },
  3638.         "CO26": {
  3639.           "hide_name": 0,
  3640.           "bits": [ 414 ],
  3641.           "attributes": {
  3642.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3643.           }
  3644.         },
  3645.         "CO27": {
  3646.           "hide_name": 0,
  3647.           "bits": [ 415 ],
  3648.           "attributes": {
  3649.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3650.           }
  3651.         },
  3652.         "CO28": {
  3653.           "hide_name": 0,
  3654.           "bits": [ 416 ],
  3655.           "attributes": {
  3656.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3657.           }
  3658.         },
  3659.         "CO29": {
  3660.           "hide_name": 0,
  3661.           "bits": [ 417 ],
  3662.           "attributes": {
  3663.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3664.           }
  3665.         },
  3666.         "CO3": {
  3667.           "hide_name": 0,
  3668.           "bits": [ 391 ],
  3669.           "attributes": {
  3670.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3671.           }
  3672.         },
  3673.         "CO30": {
  3674.           "hide_name": 0,
  3675.           "bits": [ 418 ],
  3676.           "attributes": {
  3677.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3678.           }
  3679.         },
  3680.         "CO31": {
  3681.           "hide_name": 0,
  3682.           "bits": [ 419 ],
  3683.           "attributes": {
  3684.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3685.           }
  3686.         },
  3687.         "CO32": {
  3688.           "hide_name": 0,
  3689.           "bits": [ 420 ],
  3690.           "attributes": {
  3691.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3692.           }
  3693.         },
  3694.         "CO33": {
  3695.           "hide_name": 0,
  3696.           "bits": [ 421 ],
  3697.           "attributes": {
  3698.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3699.           }
  3700.         },
  3701.         "CO34": {
  3702.           "hide_name": 0,
  3703.           "bits": [ 422 ],
  3704.           "attributes": {
  3705.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3706.           }
  3707.         },
  3708.         "CO35": {
  3709.           "hide_name": 0,
  3710.           "bits": [ 423 ],
  3711.           "attributes": {
  3712.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3713.           }
  3714.         },
  3715.         "CO36": {
  3716.           "hide_name": 0,
  3717.           "bits": [ 424 ],
  3718.           "attributes": {
  3719.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3720.           }
  3721.         },
  3722.         "CO37": {
  3723.           "hide_name": 0,
  3724.           "bits": [ 425 ],
  3725.           "attributes": {
  3726.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3727.           }
  3728.         },
  3729.         "CO38": {
  3730.           "hide_name": 0,
  3731.           "bits": [ 426 ],
  3732.           "attributes": {
  3733.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3734.           }
  3735.         },
  3736.         "CO39": {
  3737.           "hide_name": 0,
  3738.           "bits": [ 427 ],
  3739.           "attributes": {
  3740.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3741.           }
  3742.         },
  3743.         "CO4": {
  3744.           "hide_name": 0,
  3745.           "bits": [ 392 ],
  3746.           "attributes": {
  3747.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3748.           }
  3749.         },
  3750.         "CO40": {
  3751.           "hide_name": 0,
  3752.           "bits": [ 428 ],
  3753.           "attributes": {
  3754.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3755.           }
  3756.         },
  3757.         "CO41": {
  3758.           "hide_name": 0,
  3759.           "bits": [ 429 ],
  3760.           "attributes": {
  3761.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3762.           }
  3763.         },
  3764.         "CO42": {
  3765.           "hide_name": 0,
  3766.           "bits": [ 430 ],
  3767.           "attributes": {
  3768.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3769.           }
  3770.         },
  3771.         "CO43": {
  3772.           "hide_name": 0,
  3773.           "bits": [ 431 ],
  3774.           "attributes": {
  3775.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3776.           }
  3777.         },
  3778.         "CO44": {
  3779.           "hide_name": 0,
  3780.           "bits": [ 432 ],
  3781.           "attributes": {
  3782.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3783.           }
  3784.         },
  3785.         "CO45": {
  3786.           "hide_name": 0,
  3787.           "bits": [ 433 ],
  3788.           "attributes": {
  3789.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3790.           }
  3791.         },
  3792.         "CO46": {
  3793.           "hide_name": 0,
  3794.           "bits": [ 434 ],
  3795.           "attributes": {
  3796.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3797.           }
  3798.         },
  3799.         "CO47": {
  3800.           "hide_name": 0,
  3801.           "bits": [ 435 ],
  3802.           "attributes": {
  3803.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3804.           }
  3805.         },
  3806.         "CO48": {
  3807.           "hide_name": 0,
  3808.           "bits": [ 436 ],
  3809.           "attributes": {
  3810.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3811.           }
  3812.         },
  3813.         "CO49": {
  3814.           "hide_name": 0,
  3815.           "bits": [ 437 ],
  3816.           "attributes": {
  3817.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3818.           }
  3819.         },
  3820.         "CO5": {
  3821.           "hide_name": 0,
  3822.           "bits": [ 393 ],
  3823.           "attributes": {
  3824.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3825.           }
  3826.         },
  3827.         "CO50": {
  3828.           "hide_name": 0,
  3829.           "bits": [ 438 ],
  3830.           "attributes": {
  3831.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3832.           }
  3833.         },
  3834.         "CO51": {
  3835.           "hide_name": 0,
  3836.           "bits": [ 439 ],
  3837.           "attributes": {
  3838.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3839.           }
  3840.         },
  3841.         "CO52": {
  3842.           "hide_name": 0,
  3843.           "bits": [ 440 ],
  3844.           "attributes": {
  3845.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3846.           }
  3847.         },
  3848.         "CO53": {
  3849.           "hide_name": 0,
  3850.           "bits": [ 441 ],
  3851.           "attributes": {
  3852.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3853.           }
  3854.         },
  3855.         "CO6": {
  3856.           "hide_name": 0,
  3857.           "bits": [ 394 ],
  3858.           "attributes": {
  3859.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3860.           }
  3861.         },
  3862.         "CO7": {
  3863.           "hide_name": 0,
  3864.           "bits": [ 395 ],
  3865.           "attributes": {
  3866.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3867.           }
  3868.         },
  3869.         "CO8": {
  3870.           "hide_name": 0,
  3871.           "bits": [ 396 ],
  3872.           "attributes": {
  3873.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3874.           }
  3875.         },
  3876.         "CO9": {
  3877.           "hide_name": 0,
  3878.           "bits": [ 397 ],
  3879.           "attributes": {
  3880.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:58"
  3881.           }
  3882.         },
  3883.         "EQOM": {
  3884.           "hide_name": 0,
  3885.           "bits": [ 444 ],
  3886.           "attributes": {
  3887.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59"
  3888.           }
  3889.         },
  3890.         "EQPAT": {
  3891.           "hide_name": 0,
  3892.           "bits": [ 445 ],
  3893.           "attributes": {
  3894.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59"
  3895.           }
  3896.         },
  3897.         "EQPATB": {
  3898.           "hide_name": 0,
  3899.           "bits": [ 446 ],
  3900.           "attributes": {
  3901.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59"
  3902.           }
  3903.         },
  3904.         "EQZ": {
  3905.           "hide_name": 0,
  3906.           "bits": [ 442 ],
  3907.           "attributes": {
  3908.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59"
  3909.           }
  3910.         },
  3911.         "EQZM": {
  3912.           "hide_name": 0,
  3913.           "bits": [ 443 ],
  3914.           "attributes": {
  3915.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:59"
  3916.           }
  3917.         },
  3918.         "MA0": {
  3919.           "hide_name": 0,
  3920.           "bits": [ 197 ],
  3921.           "attributes": {
  3922.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3923.           }
  3924.         },
  3925.         "MA1": {
  3926.           "hide_name": 0,
  3927.           "bits": [ 198 ],
  3928.           "attributes": {
  3929.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3930.           }
  3931.         },
  3932.         "MA10": {
  3933.           "hide_name": 0,
  3934.           "bits": [ 207 ],
  3935.           "attributes": {
  3936.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3937.           }
  3938.         },
  3939.         "MA11": {
  3940.           "hide_name": 0,
  3941.           "bits": [ 208 ],
  3942.           "attributes": {
  3943.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3944.           }
  3945.         },
  3946.         "MA12": {
  3947.           "hide_name": 0,
  3948.           "bits": [ 209 ],
  3949.           "attributes": {
  3950.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3951.           }
  3952.         },
  3953.         "MA13": {
  3954.           "hide_name": 0,
  3955.           "bits": [ 210 ],
  3956.           "attributes": {
  3957.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3958.           }
  3959.         },
  3960.         "MA14": {
  3961.           "hide_name": 0,
  3962.           "bits": [ 211 ],
  3963.           "attributes": {
  3964.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3965.           }
  3966.         },
  3967.         "MA15": {
  3968.           "hide_name": 0,
  3969.           "bits": [ 212 ],
  3970.           "attributes": {
  3971.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3972.           }
  3973.         },
  3974.         "MA16": {
  3975.           "hide_name": 0,
  3976.           "bits": [ 213 ],
  3977.           "attributes": {
  3978.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3979.           }
  3980.         },
  3981.         "MA17": {
  3982.           "hide_name": 0,
  3983.           "bits": [ 214 ],
  3984.           "attributes": {
  3985.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3986.           }
  3987.         },
  3988.         "MA18": {
  3989.           "hide_name": 0,
  3990.           "bits": [ 215 ],
  3991.           "attributes": {
  3992.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  3993.           }
  3994.         },
  3995.         "MA19": {
  3996.           "hide_name": 0,
  3997.           "bits": [ 216 ],
  3998.           "attributes": {
  3999.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4000.           }
  4001.         },
  4002.         "MA2": {
  4003.           "hide_name": 0,
  4004.           "bits": [ 199 ],
  4005.           "attributes": {
  4006.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4007.           }
  4008.         },
  4009.         "MA20": {
  4010.           "hide_name": 0,
  4011.           "bits": [ 217 ],
  4012.           "attributes": {
  4013.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4014.           }
  4015.         },
  4016.         "MA21": {
  4017.           "hide_name": 0,
  4018.           "bits": [ 218 ],
  4019.           "attributes": {
  4020.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4021.           }
  4022.         },
  4023.         "MA22": {
  4024.           "hide_name": 0,
  4025.           "bits": [ 219 ],
  4026.           "attributes": {
  4027.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4028.           }
  4029.         },
  4030.         "MA23": {
  4031.           "hide_name": 0,
  4032.           "bits": [ 220 ],
  4033.           "attributes": {
  4034.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4035.           }
  4036.         },
  4037.         "MA24": {
  4038.           "hide_name": 0,
  4039.           "bits": [ 221 ],
  4040.           "attributes": {
  4041.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4042.           }
  4043.         },
  4044.         "MA25": {
  4045.           "hide_name": 0,
  4046.           "bits": [ 222 ],
  4047.           "attributes": {
  4048.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4049.           }
  4050.         },
  4051.         "MA26": {
  4052.           "hide_name": 0,
  4053.           "bits": [ 223 ],
  4054.           "attributes": {
  4055.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4056.           }
  4057.         },
  4058.         "MA27": {
  4059.           "hide_name": 0,
  4060.           "bits": [ 224 ],
  4061.           "attributes": {
  4062.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4063.           }
  4064.         },
  4065.         "MA28": {
  4066.           "hide_name": 0,
  4067.           "bits": [ 225 ],
  4068.           "attributes": {
  4069.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4070.           }
  4071.         },
  4072.         "MA29": {
  4073.           "hide_name": 0,
  4074.           "bits": [ 226 ],
  4075.           "attributes": {
  4076.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4077.           }
  4078.         },
  4079.         "MA3": {
  4080.           "hide_name": 0,
  4081.           "bits": [ 200 ],
  4082.           "attributes": {
  4083.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4084.           }
  4085.         },
  4086.         "MA30": {
  4087.           "hide_name": 0,
  4088.           "bits": [ 227 ],
  4089.           "attributes": {
  4090.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4091.           }
  4092.         },
  4093.         "MA31": {
  4094.           "hide_name": 0,
  4095.           "bits": [ 228 ],
  4096.           "attributes": {
  4097.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4098.           }
  4099.         },
  4100.         "MA32": {
  4101.           "hide_name": 0,
  4102.           "bits": [ 229 ],
  4103.           "attributes": {
  4104.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4105.           }
  4106.         },
  4107.         "MA33": {
  4108.           "hide_name": 0,
  4109.           "bits": [ 230 ],
  4110.           "attributes": {
  4111.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4112.           }
  4113.         },
  4114.         "MA34": {
  4115.           "hide_name": 0,
  4116.           "bits": [ 231 ],
  4117.           "attributes": {
  4118.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4119.           }
  4120.         },
  4121.         "MA35": {
  4122.           "hide_name": 0,
  4123.           "bits": [ 232 ],
  4124.           "attributes": {
  4125.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4126.           }
  4127.         },
  4128.         "MA4": {
  4129.           "hide_name": 0,
  4130.           "bits": [ 201 ],
  4131.           "attributes": {
  4132.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4133.           }
  4134.         },
  4135.         "MA5": {
  4136.           "hide_name": 0,
  4137.           "bits": [ 202 ],
  4138.           "attributes": {
  4139.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4140.           }
  4141.         },
  4142.         "MA6": {
  4143.           "hide_name": 0,
  4144.           "bits": [ 203 ],
  4145.           "attributes": {
  4146.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4147.           }
  4148.         },
  4149.         "MA7": {
  4150.           "hide_name": 0,
  4151.           "bits": [ 204 ],
  4152.           "attributes": {
  4153.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4154.           }
  4155.         },
  4156.         "MA8": {
  4157.           "hide_name": 0,
  4158.           "bits": [ 205 ],
  4159.           "attributes": {
  4160.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4161.           }
  4162.         },
  4163.         "MA9": {
  4164.           "hide_name": 0,
  4165.           "bits": [ 206 ],
  4166.           "attributes": {
  4167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:53"
  4168.           }
  4169.         },
  4170.         "MB0": {
  4171.           "hide_name": 0,
  4172.           "bits": [ 233 ],
  4173.           "attributes": {
  4174.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4175.           }
  4176.         },
  4177.         "MB1": {
  4178.           "hide_name": 0,
  4179.           "bits": [ 234 ],
  4180.           "attributes": {
  4181.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4182.           }
  4183.         },
  4184.         "MB10": {
  4185.           "hide_name": 0,
  4186.           "bits": [ 243 ],
  4187.           "attributes": {
  4188.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4189.           }
  4190.         },
  4191.         "MB11": {
  4192.           "hide_name": 0,
  4193.           "bits": [ 244 ],
  4194.           "attributes": {
  4195.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4196.           }
  4197.         },
  4198.         "MB12": {
  4199.           "hide_name": 0,
  4200.           "bits": [ 245 ],
  4201.           "attributes": {
  4202.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4203.           }
  4204.         },
  4205.         "MB13": {
  4206.           "hide_name": 0,
  4207.           "bits": [ 246 ],
  4208.           "attributes": {
  4209.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4210.           }
  4211.         },
  4212.         "MB14": {
  4213.           "hide_name": 0,
  4214.           "bits": [ 247 ],
  4215.           "attributes": {
  4216.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4217.           }
  4218.         },
  4219.         "MB15": {
  4220.           "hide_name": 0,
  4221.           "bits": [ 248 ],
  4222.           "attributes": {
  4223.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4224.           }
  4225.         },
  4226.         "MB16": {
  4227.           "hide_name": 0,
  4228.           "bits": [ 249 ],
  4229.           "attributes": {
  4230.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4231.           }
  4232.         },
  4233.         "MB17": {
  4234.           "hide_name": 0,
  4235.           "bits": [ 250 ],
  4236.           "attributes": {
  4237.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4238.           }
  4239.         },
  4240.         "MB18": {
  4241.           "hide_name": 0,
  4242.           "bits": [ 251 ],
  4243.           "attributes": {
  4244.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4245.           }
  4246.         },
  4247.         "MB19": {
  4248.           "hide_name": 0,
  4249.           "bits": [ 252 ],
  4250.           "attributes": {
  4251.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4252.           }
  4253.         },
  4254.         "MB2": {
  4255.           "hide_name": 0,
  4256.           "bits": [ 235 ],
  4257.           "attributes": {
  4258.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4259.           }
  4260.         },
  4261.         "MB20": {
  4262.           "hide_name": 0,
  4263.           "bits": [ 253 ],
  4264.           "attributes": {
  4265.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4266.           }
  4267.         },
  4268.         "MB21": {
  4269.           "hide_name": 0,
  4270.           "bits": [ 254 ],
  4271.           "attributes": {
  4272.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4273.           }
  4274.         },
  4275.         "MB22": {
  4276.           "hide_name": 0,
  4277.           "bits": [ 255 ],
  4278.           "attributes": {
  4279.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4280.           }
  4281.         },
  4282.         "MB23": {
  4283.           "hide_name": 0,
  4284.           "bits": [ 256 ],
  4285.           "attributes": {
  4286.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4287.           }
  4288.         },
  4289.         "MB24": {
  4290.           "hide_name": 0,
  4291.           "bits": [ 257 ],
  4292.           "attributes": {
  4293.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4294.           }
  4295.         },
  4296.         "MB25": {
  4297.           "hide_name": 0,
  4298.           "bits": [ 258 ],
  4299.           "attributes": {
  4300.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4301.           }
  4302.         },
  4303.         "MB26": {
  4304.           "hide_name": 0,
  4305.           "bits": [ 259 ],
  4306.           "attributes": {
  4307.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4308.           }
  4309.         },
  4310.         "MB27": {
  4311.           "hide_name": 0,
  4312.           "bits": [ 260 ],
  4313.           "attributes": {
  4314.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4315.           }
  4316.         },
  4317.         "MB28": {
  4318.           "hide_name": 0,
  4319.           "bits": [ 261 ],
  4320.           "attributes": {
  4321.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4322.           }
  4323.         },
  4324.         "MB29": {
  4325.           "hide_name": 0,
  4326.           "bits": [ 262 ],
  4327.           "attributes": {
  4328.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4329.           }
  4330.         },
  4331.         "MB3": {
  4332.           "hide_name": 0,
  4333.           "bits": [ 236 ],
  4334.           "attributes": {
  4335.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4336.           }
  4337.         },
  4338.         "MB30": {
  4339.           "hide_name": 0,
  4340.           "bits": [ 263 ],
  4341.           "attributes": {
  4342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4343.           }
  4344.         },
  4345.         "MB31": {
  4346.           "hide_name": 0,
  4347.           "bits": [ 264 ],
  4348.           "attributes": {
  4349.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4350.           }
  4351.         },
  4352.         "MB32": {
  4353.           "hide_name": 0,
  4354.           "bits": [ 265 ],
  4355.           "attributes": {
  4356.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4357.           }
  4358.         },
  4359.         "MB33": {
  4360.           "hide_name": 0,
  4361.           "bits": [ 266 ],
  4362.           "attributes": {
  4363.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4364.           }
  4365.         },
  4366.         "MB34": {
  4367.           "hide_name": 0,
  4368.           "bits": [ 267 ],
  4369.           "attributes": {
  4370.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4371.           }
  4372.         },
  4373.         "MB35": {
  4374.           "hide_name": 0,
  4375.           "bits": [ 268 ],
  4376.           "attributes": {
  4377.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4378.           }
  4379.         },
  4380.         "MB4": {
  4381.           "hide_name": 0,
  4382.           "bits": [ 237 ],
  4383.           "attributes": {
  4384.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4385.           }
  4386.         },
  4387.         "MB5": {
  4388.           "hide_name": 0,
  4389.           "bits": [ 238 ],
  4390.           "attributes": {
  4391.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4392.           }
  4393.         },
  4394.         "MB6": {
  4395.           "hide_name": 0,
  4396.           "bits": [ 239 ],
  4397.           "attributes": {
  4398.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4399.           }
  4400.         },
  4401.         "MB7": {
  4402.           "hide_name": 0,
  4403.           "bits": [ 240 ],
  4404.           "attributes": {
  4405.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4406.           }
  4407.         },
  4408.         "MB8": {
  4409.           "hide_name": 0,
  4410.           "bits": [ 241 ],
  4411.           "attributes": {
  4412.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4413.           }
  4414.         },
  4415.         "MB9": {
  4416.           "hide_name": 0,
  4417.           "bits": [ 242 ],
  4418.           "attributes": {
  4419.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:54"
  4420.           }
  4421.         },
  4422.         "OP0": {
  4423.           "hide_name": 0,
  4424.           "bits": [ 323 ],
  4425.           "attributes": {
  4426.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4427.           }
  4428.         },
  4429.         "OP1": {
  4430.           "hide_name": 0,
  4431.           "bits": [ 324 ],
  4432.           "attributes": {
  4433.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4434.           }
  4435.         },
  4436.         "OP10": {
  4437.           "hide_name": 0,
  4438.           "bits": [ 333 ],
  4439.           "attributes": {
  4440.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4441.           }
  4442.         },
  4443.         "OP2": {
  4444.           "hide_name": 0,
  4445.           "bits": [ 325 ],
  4446.           "attributes": {
  4447.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4448.           }
  4449.         },
  4450.         "OP3": {
  4451.           "hide_name": 0,
  4452.           "bits": [ 326 ],
  4453.           "attributes": {
  4454.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4455.           }
  4456.         },
  4457.         "OP4": {
  4458.           "hide_name": 0,
  4459.           "bits": [ 327 ],
  4460.           "attributes": {
  4461.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4462.           }
  4463.         },
  4464.         "OP5": {
  4465.           "hide_name": 0,
  4466.           "bits": [ 328 ],
  4467.           "attributes": {
  4468.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4469.           }
  4470.         },
  4471.         "OP6": {
  4472.           "hide_name": 0,
  4473.           "bits": [ 329 ],
  4474.           "attributes": {
  4475.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4476.           }
  4477.         },
  4478.         "OP7": {
  4479.           "hide_name": 0,
  4480.           "bits": [ 330 ],
  4481.           "attributes": {
  4482.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4483.           }
  4484.         },
  4485.         "OP8": {
  4486.           "hide_name": 0,
  4487.           "bits": [ 331 ],
  4488.           "attributes": {
  4489.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4490.           }
  4491.         },
  4492.         "OP9": {
  4493.           "hide_name": 0,
  4494.           "bits": [ 332 ],
  4495.           "attributes": {
  4496.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:56"
  4497.           }
  4498.         },
  4499.         "OVER": {
  4500.           "hide_name": 0,
  4501.           "bits": [ 447 ],
  4502.           "attributes": {
  4503.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60"
  4504.           }
  4505.         },
  4506.         "OVERUNDER": {
  4507.           "hide_name": 0,
  4508.           "bits": [ 449 ],
  4509.           "attributes": {
  4510.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60"
  4511.           }
  4512.         },
  4513.         "R0": {
  4514.           "hide_name": 0,
  4515.           "bits": [ 334 ],
  4516.           "attributes": {
  4517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4518.           }
  4519.         },
  4520.         "R1": {
  4521.           "hide_name": 0,
  4522.           "bits": [ 335 ],
  4523.           "attributes": {
  4524.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4525.           }
  4526.         },
  4527.         "R10": {
  4528.           "hide_name": 0,
  4529.           "bits": [ 344 ],
  4530.           "attributes": {
  4531.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4532.           }
  4533.         },
  4534.         "R11": {
  4535.           "hide_name": 0,
  4536.           "bits": [ 345 ],
  4537.           "attributes": {
  4538.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4539.           }
  4540.         },
  4541.         "R12": {
  4542.           "hide_name": 0,
  4543.           "bits": [ 346 ],
  4544.           "attributes": {
  4545.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4546.           }
  4547.         },
  4548.         "R13": {
  4549.           "hide_name": 0,
  4550.           "bits": [ 347 ],
  4551.           "attributes": {
  4552.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4553.           }
  4554.         },
  4555.         "R14": {
  4556.           "hide_name": 0,
  4557.           "bits": [ 348 ],
  4558.           "attributes": {
  4559.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4560.           }
  4561.         },
  4562.         "R15": {
  4563.           "hide_name": 0,
  4564.           "bits": [ 349 ],
  4565.           "attributes": {
  4566.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4567.           }
  4568.         },
  4569.         "R16": {
  4570.           "hide_name": 0,
  4571.           "bits": [ 350 ],
  4572.           "attributes": {
  4573.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4574.           }
  4575.         },
  4576.         "R17": {
  4577.           "hide_name": 0,
  4578.           "bits": [ 351 ],
  4579.           "attributes": {
  4580.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4581.           }
  4582.         },
  4583.         "R18": {
  4584.           "hide_name": 0,
  4585.           "bits": [ 352 ],
  4586.           "attributes": {
  4587.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4588.           }
  4589.         },
  4590.         "R19": {
  4591.           "hide_name": 0,
  4592.           "bits": [ 353 ],
  4593.           "attributes": {
  4594.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4595.           }
  4596.         },
  4597.         "R2": {
  4598.           "hide_name": 0,
  4599.           "bits": [ 336 ],
  4600.           "attributes": {
  4601.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4602.           }
  4603.         },
  4604.         "R20": {
  4605.           "hide_name": 0,
  4606.           "bits": [ 354 ],
  4607.           "attributes": {
  4608.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4609.           }
  4610.         },
  4611.         "R21": {
  4612.           "hide_name": 0,
  4613.           "bits": [ 355 ],
  4614.           "attributes": {
  4615.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4616.           }
  4617.         },
  4618.         "R22": {
  4619.           "hide_name": 0,
  4620.           "bits": [ 356 ],
  4621.           "attributes": {
  4622.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4623.           }
  4624.         },
  4625.         "R23": {
  4626.           "hide_name": 0,
  4627.           "bits": [ 357 ],
  4628.           "attributes": {
  4629.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4630.           }
  4631.         },
  4632.         "R24": {
  4633.           "hide_name": 0,
  4634.           "bits": [ 358 ],
  4635.           "attributes": {
  4636.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4637.           }
  4638.         },
  4639.         "R25": {
  4640.           "hide_name": 0,
  4641.           "bits": [ 359 ],
  4642.           "attributes": {
  4643.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4644.           }
  4645.         },
  4646.         "R26": {
  4647.           "hide_name": 0,
  4648.           "bits": [ 360 ],
  4649.           "attributes": {
  4650.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4651.           }
  4652.         },
  4653.         "R27": {
  4654.           "hide_name": 0,
  4655.           "bits": [ 361 ],
  4656.           "attributes": {
  4657.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4658.           }
  4659.         },
  4660.         "R28": {
  4661.           "hide_name": 0,
  4662.           "bits": [ 362 ],
  4663.           "attributes": {
  4664.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4665.           }
  4666.         },
  4667.         "R29": {
  4668.           "hide_name": 0,
  4669.           "bits": [ 363 ],
  4670.           "attributes": {
  4671.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4672.           }
  4673.         },
  4674.         "R3": {
  4675.           "hide_name": 0,
  4676.           "bits": [ 337 ],
  4677.           "attributes": {
  4678.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4679.           }
  4680.         },
  4681.         "R30": {
  4682.           "hide_name": 0,
  4683.           "bits": [ 364 ],
  4684.           "attributes": {
  4685.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4686.           }
  4687.         },
  4688.         "R31": {
  4689.           "hide_name": 0,
  4690.           "bits": [ 365 ],
  4691.           "attributes": {
  4692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4693.           }
  4694.         },
  4695.         "R32": {
  4696.           "hide_name": 0,
  4697.           "bits": [ 366 ],
  4698.           "attributes": {
  4699.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4700.           }
  4701.         },
  4702.         "R33": {
  4703.           "hide_name": 0,
  4704.           "bits": [ 367 ],
  4705.           "attributes": {
  4706.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4707.           }
  4708.         },
  4709.         "R34": {
  4710.           "hide_name": 0,
  4711.           "bits": [ 368 ],
  4712.           "attributes": {
  4713.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4714.           }
  4715.         },
  4716.         "R35": {
  4717.           "hide_name": 0,
  4718.           "bits": [ 369 ],
  4719.           "attributes": {
  4720.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4721.           }
  4722.         },
  4723.         "R36": {
  4724.           "hide_name": 0,
  4725.           "bits": [ 370 ],
  4726.           "attributes": {
  4727.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4728.           }
  4729.         },
  4730.         "R37": {
  4731.           "hide_name": 0,
  4732.           "bits": [ 371 ],
  4733.           "attributes": {
  4734.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4735.           }
  4736.         },
  4737.         "R38": {
  4738.           "hide_name": 0,
  4739.           "bits": [ 372 ],
  4740.           "attributes": {
  4741.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4742.           }
  4743.         },
  4744.         "R39": {
  4745.           "hide_name": 0,
  4746.           "bits": [ 373 ],
  4747.           "attributes": {
  4748.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4749.           }
  4750.         },
  4751.         "R4": {
  4752.           "hide_name": 0,
  4753.           "bits": [ 338 ],
  4754.           "attributes": {
  4755.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4756.           }
  4757.         },
  4758.         "R40": {
  4759.           "hide_name": 0,
  4760.           "bits": [ 374 ],
  4761.           "attributes": {
  4762.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4763.           }
  4764.         },
  4765.         "R41": {
  4766.           "hide_name": 0,
  4767.           "bits": [ 375 ],
  4768.           "attributes": {
  4769.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4770.           }
  4771.         },
  4772.         "R42": {
  4773.           "hide_name": 0,
  4774.           "bits": [ 376 ],
  4775.           "attributes": {
  4776.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4777.           }
  4778.         },
  4779.         "R43": {
  4780.           "hide_name": 0,
  4781.           "bits": [ 377 ],
  4782.           "attributes": {
  4783.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4784.           }
  4785.         },
  4786.         "R44": {
  4787.           "hide_name": 0,
  4788.           "bits": [ 378 ],
  4789.           "attributes": {
  4790.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4791.           }
  4792.         },
  4793.         "R45": {
  4794.           "hide_name": 0,
  4795.           "bits": [ 379 ],
  4796.           "attributes": {
  4797.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4798.           }
  4799.         },
  4800.         "R46": {
  4801.           "hide_name": 0,
  4802.           "bits": [ 380 ],
  4803.           "attributes": {
  4804.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4805.           }
  4806.         },
  4807.         "R47": {
  4808.           "hide_name": 0,
  4809.           "bits": [ 381 ],
  4810.           "attributes": {
  4811.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4812.           }
  4813.         },
  4814.         "R48": {
  4815.           "hide_name": 0,
  4816.           "bits": [ 382 ],
  4817.           "attributes": {
  4818.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4819.           }
  4820.         },
  4821.         "R49": {
  4822.           "hide_name": 0,
  4823.           "bits": [ 383 ],
  4824.           "attributes": {
  4825.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4826.           }
  4827.         },
  4828.         "R5": {
  4829.           "hide_name": 0,
  4830.           "bits": [ 339 ],
  4831.           "attributes": {
  4832.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4833.           }
  4834.         },
  4835.         "R50": {
  4836.           "hide_name": 0,
  4837.           "bits": [ 384 ],
  4838.           "attributes": {
  4839.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4840.           }
  4841.         },
  4842.         "R51": {
  4843.           "hide_name": 0,
  4844.           "bits": [ 385 ],
  4845.           "attributes": {
  4846.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4847.           }
  4848.         },
  4849.         "R52": {
  4850.           "hide_name": 0,
  4851.           "bits": [ 386 ],
  4852.           "attributes": {
  4853.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4854.           }
  4855.         },
  4856.         "R53": {
  4857.           "hide_name": 0,
  4858.           "bits": [ 387 ],
  4859.           "attributes": {
  4860.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4861.           }
  4862.         },
  4863.         "R6": {
  4864.           "hide_name": 0,
  4865.           "bits": [ 340 ],
  4866.           "attributes": {
  4867.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4868.           }
  4869.         },
  4870.         "R7": {
  4871.           "hide_name": 0,
  4872.           "bits": [ 341 ],
  4873.           "attributes": {
  4874.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4875.           }
  4876.         },
  4877.         "R8": {
  4878.           "hide_name": 0,
  4879.           "bits": [ 342 ],
  4880.           "attributes": {
  4881.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4882.           }
  4883.         },
  4884.         "R9": {
  4885.           "hide_name": 0,
  4886.           "bits": [ 343 ],
  4887.           "attributes": {
  4888.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:57"
  4889.           }
  4890.         },
  4891.         "RST0": {
  4892.           "hide_name": 0,
  4893.           "bits": [ 10 ],
  4894.           "attributes": {
  4895.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47"
  4896.           }
  4897.         },
  4898.         "RST1": {
  4899.           "hide_name": 0,
  4900.           "bits": [ 11 ],
  4901.           "attributes": {
  4902.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47"
  4903.           }
  4904.         },
  4905.         "RST2": {
  4906.           "hide_name": 0,
  4907.           "bits": [ 12 ],
  4908.           "attributes": {
  4909.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47"
  4910.           }
  4911.         },
  4912.         "RST3": {
  4913.           "hide_name": 0,
  4914.           "bits": [ 13 ],
  4915.           "attributes": {
  4916.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:47"
  4917.           }
  4918.         },
  4919.         "SIGNEDCIN": {
  4920.           "hide_name": 0,
  4921.           "bits": [ 16 ],
  4922.           "attributes": {
  4923.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48"
  4924.           }
  4925.         },
  4926.         "SIGNEDIA": {
  4927.           "hide_name": 0,
  4928.           "bits": [ 14 ],
  4929.           "attributes": {
  4930.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48"
  4931.           }
  4932.         },
  4933.         "SIGNEDIB": {
  4934.           "hide_name": 0,
  4935.           "bits": [ 15 ],
  4936.           "attributes": {
  4937.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:48"
  4938.           }
  4939.         },
  4940.         "SIGNEDR": {
  4941.           "hide_name": 0,
  4942.           "bits": [ 450 ],
  4943.           "attributes": {
  4944.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:61"
  4945.           }
  4946.         },
  4947.         "UNDER": {
  4948.           "hide_name": 0,
  4949.           "bits": [ 448 ],
  4950.           "attributes": {
  4951.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:60"
  4952.           }
  4953.         }
  4954.       }
  4955.     },
  4956.     "BB": {
  4957.       "attributes": {
  4958.         "blackbox": 1,
  4959.         "cells_not_processed": 1,
  4960.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:567"
  4961.       },
  4962.       "ports": {
  4963.         "I": {
  4964.           "direction": "input",
  4965.           "bits": [ 2 ]
  4966.         },
  4967.         "T": {
  4968.           "direction": "input",
  4969.           "bits": [ 3 ]
  4970.         },
  4971.         "O": {
  4972.           "direction": "output",
  4973.           "bits": [ 4 ]
  4974.         },
  4975.         "B": {
  4976.           "direction": "inout",
  4977.           "bits": [ 5 ]
  4978.         }
  4979.       },
  4980.       "cells": {
  4981.       },
  4982.       "netnames": {
  4983.         "B": {
  4984.           "hide_name": 0,
  4985.           "bits": [ 5 ],
  4986.           "attributes": {
  4987.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:567"
  4988.           }
  4989.         },
  4990.         "I": {
  4991.           "hide_name": 0,
  4992.           "bits": [ 2 ],
  4993.           "attributes": {
  4994.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:567"
  4995.           }
  4996.         },
  4997.         "O": {
  4998.           "hide_name": 0,
  4999.           "bits": [ 4 ],
  5000.           "attributes": {
  5001.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:567"
  5002.           }
  5003.         },
  5004.         "T": {
  5005.           "hide_name": 0,
  5006.           "bits": [ 3 ],
  5007.           "attributes": {
  5008.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:567"
  5009.           }
  5010.         }
  5011.       }
  5012.     },
  5013.     "BBPD": {
  5014.       "attributes": {
  5015.         "blackbox": 1,
  5016.         "cells_not_processed": 1,
  5017.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:569"
  5018.       },
  5019.       "ports": {
  5020.         "I": {
  5021.           "direction": "input",
  5022.           "bits": [ 2 ]
  5023.         },
  5024.         "T": {
  5025.           "direction": "input",
  5026.           "bits": [ 3 ]
  5027.         },
  5028.         "O": {
  5029.           "direction": "output",
  5030.           "bits": [ 4 ]
  5031.         },
  5032.         "B": {
  5033.           "direction": "inout",
  5034.           "bits": [ 5 ]
  5035.         }
  5036.       },
  5037.       "cells": {
  5038.       },
  5039.       "netnames": {
  5040.         "B": {
  5041.           "hide_name": 0,
  5042.           "bits": [ 5 ],
  5043.           "attributes": {
  5044.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:569"
  5045.           }
  5046.         },
  5047.         "I": {
  5048.           "hide_name": 0,
  5049.           "bits": [ 2 ],
  5050.           "attributes": {
  5051.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:569"
  5052.           }
  5053.         },
  5054.         "O": {
  5055.           "hide_name": 0,
  5056.           "bits": [ 4 ],
  5057.           "attributes": {
  5058.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:569"
  5059.           }
  5060.         },
  5061.         "T": {
  5062.           "hide_name": 0,
  5063.           "bits": [ 3 ],
  5064.           "attributes": {
  5065.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:569"
  5066.           }
  5067.         }
  5068.       }
  5069.     },
  5070.     "BBPU": {
  5071.       "attributes": {
  5072.         "blackbox": 1,
  5073.         "cells_not_processed": 1,
  5074.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:568"
  5075.       },
  5076.       "ports": {
  5077.         "I": {
  5078.           "direction": "input",
  5079.           "bits": [ 2 ]
  5080.         },
  5081.         "T": {
  5082.           "direction": "input",
  5083.           "bits": [ 3 ]
  5084.         },
  5085.         "O": {
  5086.           "direction": "output",
  5087.           "bits": [ 4 ]
  5088.         },
  5089.         "B": {
  5090.           "direction": "inout",
  5091.           "bits": [ 5 ]
  5092.         }
  5093.       },
  5094.       "cells": {
  5095.       },
  5096.       "netnames": {
  5097.         "B": {
  5098.           "hide_name": 0,
  5099.           "bits": [ 5 ],
  5100.           "attributes": {
  5101.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:568"
  5102.           }
  5103.         },
  5104.         "I": {
  5105.           "hide_name": 0,
  5106.           "bits": [ 2 ],
  5107.           "attributes": {
  5108.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:568"
  5109.           }
  5110.         },
  5111.         "O": {
  5112.           "hide_name": 0,
  5113.           "bits": [ 4 ],
  5114.           "attributes": {
  5115.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:568"
  5116.           }
  5117.         },
  5118.         "T": {
  5119.           "hide_name": 0,
  5120.           "bits": [ 3 ],
  5121.           "attributes": {
  5122.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:568"
  5123.           }
  5124.         }
  5125.       }
  5126.     },
  5127.     "CCU2C": {
  5128.       "attributes": {
  5129.         "blackbox": 1,
  5130.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5131.       },
  5132.       "ports": {
  5133.         "CIN": {
  5134.           "direction": "input",
  5135.           "bits": [ 2 ]
  5136.         },
  5137.         "A0": {
  5138.           "direction": "input",
  5139.           "bits": [ 3 ]
  5140.         },
  5141.         "B0": {
  5142.           "direction": "input",
  5143.           "bits": [ 4 ]
  5144.         },
  5145.         "C0": {
  5146.           "direction": "input",
  5147.           "bits": [ 5 ]
  5148.         },
  5149.         "D0": {
  5150.           "direction": "input",
  5151.           "bits": [ 6 ]
  5152.         },
  5153.         "A1": {
  5154.           "direction": "input",
  5155.           "bits": [ 7 ]
  5156.         },
  5157.         "B1": {
  5158.           "direction": "input",
  5159.           "bits": [ 8 ]
  5160.         },
  5161.         "C1": {
  5162.           "direction": "input",
  5163.           "bits": [ 9 ]
  5164.         },
  5165.         "D1": {
  5166.           "direction": "input",
  5167.           "bits": [ 10 ]
  5168.         },
  5169.         "S0": {
  5170.           "direction": "output",
  5171.           "bits": [ 11 ]
  5172.         },
  5173.         "S1": {
  5174.           "direction": "output",
  5175.           "bits": [ 12 ]
  5176.         },
  5177.         "COUT": {
  5178.           "direction": "output",
  5179.           "bits": [ 13 ]
  5180.         }
  5181.       },
  5182.       "cells": {
  5183.       },
  5184.       "netnames": {
  5185.         "A0": {
  5186.           "hide_name": 0,
  5187.           "bits": [ 3 ],
  5188.           "attributes": {
  5189.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5190.           }
  5191.         },
  5192.         "A1": {
  5193.           "hide_name": 0,
  5194.           "bits": [ 7 ],
  5195.           "attributes": {
  5196.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5197.           }
  5198.         },
  5199.         "B0": {
  5200.           "hide_name": 0,
  5201.           "bits": [ 4 ],
  5202.           "attributes": {
  5203.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5204.           }
  5205.         },
  5206.         "B1": {
  5207.           "hide_name": 0,
  5208.           "bits": [ 8 ],
  5209.           "attributes": {
  5210.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5211.           }
  5212.         },
  5213.         "C0": {
  5214.           "hide_name": 0,
  5215.           "bits": [ 5 ],
  5216.           "attributes": {
  5217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5218.           }
  5219.         },
  5220.         "C1": {
  5221.           "hide_name": 0,
  5222.           "bits": [ 9 ],
  5223.           "attributes": {
  5224.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5225.           }
  5226.         },
  5227.         "CIN": {
  5228.           "hide_name": 0,
  5229.           "bits": [ 2 ],
  5230.           "attributes": {
  5231.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5232.           }
  5233.         },
  5234.         "COUT": {
  5235.           "hide_name": 0,
  5236.           "bits": [ 13 ],
  5237.           "attributes": {
  5238.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20"
  5239.           }
  5240.         },
  5241.         "D0": {
  5242.           "hide_name": 0,
  5243.           "bits": [ 6 ],
  5244.           "attributes": {
  5245.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5246.           }
  5247.         },
  5248.         "D1": {
  5249.           "hide_name": 0,
  5250.           "bits": [ 10 ],
  5251.           "attributes": {
  5252.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:19"
  5253.           }
  5254.         },
  5255.         "S0": {
  5256.           "hide_name": 0,
  5257.           "bits": [ 11 ],
  5258.           "attributes": {
  5259.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20"
  5260.           }
  5261.         },
  5262.         "S1": {
  5263.           "hide_name": 0,
  5264.           "bits": [ 12 ],
  5265.           "attributes": {
  5266.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:20"
  5267.           }
  5268.         }
  5269.       }
  5270.     },
  5271.     "CLKDIVF": {
  5272.       "attributes": {
  5273.         "blackbox": 1,
  5274.         "cells_not_processed": 1,
  5275.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:321"
  5276.       },
  5277.       "ports": {
  5278.         "CLKI": {
  5279.           "direction": "input",
  5280.           "bits": [ 2 ]
  5281.         },
  5282.         "RST": {
  5283.           "direction": "input",
  5284.           "bits": [ 3 ]
  5285.         },
  5286.         "ALIGNWD": {
  5287.           "direction": "input",
  5288.           "bits": [ 4 ]
  5289.         },
  5290.         "CDIVX": {
  5291.           "direction": "output",
  5292.           "bits": [ 5 ]
  5293.         }
  5294.       },
  5295.       "cells": {
  5296.       },
  5297.       "netnames": {
  5298.         "ALIGNWD": {
  5299.           "hide_name": 0,
  5300.           "bits": [ 4 ],
  5301.           "attributes": {
  5302.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322"
  5303.           }
  5304.         },
  5305.         "CDIVX": {
  5306.           "hide_name": 0,
  5307.           "bits": [ 5 ],
  5308.           "attributes": {
  5309.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:323"
  5310.           }
  5311.         },
  5312.         "CLKI": {
  5313.           "hide_name": 0,
  5314.           "bits": [ 2 ],
  5315.           "attributes": {
  5316.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322"
  5317.           }
  5318.         },
  5319.         "RST": {
  5320.           "hide_name": 0,
  5321.           "bits": [ 3 ],
  5322.           "attributes": {
  5323.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:322"
  5324.           }
  5325.         }
  5326.       }
  5327.     },
  5328.     "DCCA": {
  5329.       "attributes": {
  5330.         "blackbox": 1,
  5331.         "cells_not_processed": 1,
  5332.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:337"
  5333.       },
  5334.       "ports": {
  5335.         "CLKI": {
  5336.           "direction": "input",
  5337.           "bits": [ 2 ]
  5338.         },
  5339.         "CE": {
  5340.           "direction": "input",
  5341.           "bits": [ 3 ]
  5342.         },
  5343.         "CLKO": {
  5344.           "direction": "output",
  5345.           "bits": [ 4 ]
  5346.         }
  5347.       },
  5348.       "cells": {
  5349.       },
  5350.       "netnames": {
  5351.         "CE": {
  5352.           "hide_name": 0,
  5353.           "bits": [ 3 ],
  5354.           "attributes": {
  5355.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:338"
  5356.           }
  5357.         },
  5358.         "CLKI": {
  5359.           "hide_name": 0,
  5360.           "bits": [ 2 ],
  5361.           "attributes": {
  5362.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:338"
  5363.           }
  5364.         },
  5365.         "CLKO": {
  5366.           "hide_name": 0,
  5367.           "bits": [ 4 ],
  5368.           "attributes": {
  5369.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:339"
  5370.           }
  5371.         }
  5372.       }
  5373.     },
  5374.     "DCUA": {
  5375.       "attributes": {
  5376.         "blackbox": 1,
  5377.         "keep": 1,
  5378.         "cells_not_processed": 1,
  5379.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:344"
  5380.       },
  5381.       "ports": {
  5382.         "CH0_HDINP": {
  5383.           "direction": "input",
  5384.           "bits": [ 2 ]
  5385.         },
  5386.         "CH1_HDINP": {
  5387.           "direction": "input",
  5388.           "bits": [ 3 ]
  5389.         },
  5390.         "CH0_HDINN": {
  5391.           "direction": "input",
  5392.           "bits": [ 4 ]
  5393.         },
  5394.         "CH1_HDINN": {
  5395.           "direction": "input",
  5396.           "bits": [ 5 ]
  5397.         },
  5398.         "D_TXBIT_CLKP_FROM_ND": {
  5399.           "direction": "input",
  5400.           "bits": [ 6 ]
  5401.         },
  5402.         "D_TXBIT_CLKN_FROM_ND": {
  5403.           "direction": "input",
  5404.           "bits": [ 7 ]
  5405.         },
  5406.         "D_SYNC_ND": {
  5407.           "direction": "input",
  5408.           "bits": [ 8 ]
  5409.         },
  5410.         "D_TXPLL_LOL_FROM_ND": {
  5411.           "direction": "input",
  5412.           "bits": [ 9 ]
  5413.         },
  5414.         "CH0_RX_REFCLK": {
  5415.           "direction": "input",
  5416.           "bits": [ 10 ]
  5417.         },
  5418.         "CH1_RX_REFCLK": {
  5419.           "direction": "input",
  5420.           "bits": [ 11 ]
  5421.         },
  5422.         "CH0_FF_RXI_CLK": {
  5423.           "direction": "input",
  5424.           "bits": [ 12 ]
  5425.         },
  5426.         "CH1_FF_RXI_CLK": {
  5427.           "direction": "input",
  5428.           "bits": [ 13 ]
  5429.         },
  5430.         "CH0_FF_TXI_CLK": {
  5431.           "direction": "input",
  5432.           "bits": [ 14 ]
  5433.         },
  5434.         "CH1_FF_TXI_CLK": {
  5435.           "direction": "input",
  5436.           "bits": [ 15 ]
  5437.         },
  5438.         "CH0_FF_EBRD_CLK": {
  5439.           "direction": "input",
  5440.           "bits": [ 16 ]
  5441.         },
  5442.         "CH1_FF_EBRD_CLK": {
  5443.           "direction": "input",
  5444.           "bits": [ 17 ]
  5445.         },
  5446.         "CH0_FF_TX_D_0": {
  5447.           "direction": "input",
  5448.           "bits": [ 18 ]
  5449.         },
  5450.         "CH1_FF_TX_D_0": {
  5451.           "direction": "input",
  5452.           "bits": [ 19 ]
  5453.         },
  5454.         "CH0_FF_TX_D_1": {
  5455.           "direction": "input",
  5456.           "bits": [ 20 ]
  5457.         },
  5458.         "CH1_FF_TX_D_1": {
  5459.           "direction": "input",
  5460.           "bits": [ 21 ]
  5461.         },
  5462.         "CH0_FF_TX_D_2": {
  5463.           "direction": "input",
  5464.           "bits": [ 22 ]
  5465.         },
  5466.         "CH1_FF_TX_D_2": {
  5467.           "direction": "input",
  5468.           "bits": [ 23 ]
  5469.         },
  5470.         "CH0_FF_TX_D_3": {
  5471.           "direction": "input",
  5472.           "bits": [ 24 ]
  5473.         },
  5474.         "CH1_FF_TX_D_3": {
  5475.           "direction": "input",
  5476.           "bits": [ 25 ]
  5477.         },
  5478.         "CH0_FF_TX_D_4": {
  5479.           "direction": "input",
  5480.           "bits": [ 26 ]
  5481.         },
  5482.         "CH1_FF_TX_D_4": {
  5483.           "direction": "input",
  5484.           "bits": [ 27 ]
  5485.         },
  5486.         "CH0_FF_TX_D_5": {
  5487.           "direction": "input",
  5488.           "bits": [ 28 ]
  5489.         },
  5490.         "CH1_FF_TX_D_5": {
  5491.           "direction": "input",
  5492.           "bits": [ 29 ]
  5493.         },
  5494.         "CH0_FF_TX_D_6": {
  5495.           "direction": "input",
  5496.           "bits": [ 30 ]
  5497.         },
  5498.         "CH1_FF_TX_D_6": {
  5499.           "direction": "input",
  5500.           "bits": [ 31 ]
  5501.         },
  5502.         "CH0_FF_TX_D_7": {
  5503.           "direction": "input",
  5504.           "bits": [ 32 ]
  5505.         },
  5506.         "CH1_FF_TX_D_7": {
  5507.           "direction": "input",
  5508.           "bits": [ 33 ]
  5509.         },
  5510.         "CH0_FF_TX_D_8": {
  5511.           "direction": "input",
  5512.           "bits": [ 34 ]
  5513.         },
  5514.         "CH1_FF_TX_D_8": {
  5515.           "direction": "input",
  5516.           "bits": [ 35 ]
  5517.         },
  5518.         "CH0_FF_TX_D_9": {
  5519.           "direction": "input",
  5520.           "bits": [ 36 ]
  5521.         },
  5522.         "CH1_FF_TX_D_9": {
  5523.           "direction": "input",
  5524.           "bits": [ 37 ]
  5525.         },
  5526.         "CH0_FF_TX_D_10": {
  5527.           "direction": "input",
  5528.           "bits": [ 38 ]
  5529.         },
  5530.         "CH1_FF_TX_D_10": {
  5531.           "direction": "input",
  5532.           "bits": [ 39 ]
  5533.         },
  5534.         "CH0_FF_TX_D_11": {
  5535.           "direction": "input",
  5536.           "bits": [ 40 ]
  5537.         },
  5538.         "CH1_FF_TX_D_11": {
  5539.           "direction": "input",
  5540.           "bits": [ 41 ]
  5541.         },
  5542.         "CH0_FF_TX_D_12": {
  5543.           "direction": "input",
  5544.           "bits": [ 42 ]
  5545.         },
  5546.         "CH1_FF_TX_D_12": {
  5547.           "direction": "input",
  5548.           "bits": [ 43 ]
  5549.         },
  5550.         "CH0_FF_TX_D_13": {
  5551.           "direction": "input",
  5552.           "bits": [ 44 ]
  5553.         },
  5554.         "CH1_FF_TX_D_13": {
  5555.           "direction": "input",
  5556.           "bits": [ 45 ]
  5557.         },
  5558.         "CH0_FF_TX_D_14": {
  5559.           "direction": "input",
  5560.           "bits": [ 46 ]
  5561.         },
  5562.         "CH1_FF_TX_D_14": {
  5563.           "direction": "input",
  5564.           "bits": [ 47 ]
  5565.         },
  5566.         "CH0_FF_TX_D_15": {
  5567.           "direction": "input",
  5568.           "bits": [ 48 ]
  5569.         },
  5570.         "CH1_FF_TX_D_15": {
  5571.           "direction": "input",
  5572.           "bits": [ 49 ]
  5573.         },
  5574.         "CH0_FF_TX_D_16": {
  5575.           "direction": "input",
  5576.           "bits": [ 50 ]
  5577.         },
  5578.         "CH1_FF_TX_D_16": {
  5579.           "direction": "input",
  5580.           "bits": [ 51 ]
  5581.         },
  5582.         "CH0_FF_TX_D_17": {
  5583.           "direction": "input",
  5584.           "bits": [ 52 ]
  5585.         },
  5586.         "CH1_FF_TX_D_17": {
  5587.           "direction": "input",
  5588.           "bits": [ 53 ]
  5589.         },
  5590.         "CH0_FF_TX_D_18": {
  5591.           "direction": "input",
  5592.           "bits": [ 54 ]
  5593.         },
  5594.         "CH1_FF_TX_D_18": {
  5595.           "direction": "input",
  5596.           "bits": [ 55 ]
  5597.         },
  5598.         "CH0_FF_TX_D_19": {
  5599.           "direction": "input",
  5600.           "bits": [ 56 ]
  5601.         },
  5602.         "CH1_FF_TX_D_19": {
  5603.           "direction": "input",
  5604.           "bits": [ 57 ]
  5605.         },
  5606.         "CH0_FF_TX_D_20": {
  5607.           "direction": "input",
  5608.           "bits": [ 58 ]
  5609.         },
  5610.         "CH1_FF_TX_D_20": {
  5611.           "direction": "input",
  5612.           "bits": [ 59 ]
  5613.         },
  5614.         "CH0_FF_TX_D_21": {
  5615.           "direction": "input",
  5616.           "bits": [ 60 ]
  5617.         },
  5618.         "CH1_FF_TX_D_21": {
  5619.           "direction": "input",
  5620.           "bits": [ 61 ]
  5621.         },
  5622.         "CH0_FF_TX_D_22": {
  5623.           "direction": "input",
  5624.           "bits": [ 62 ]
  5625.         },
  5626.         "CH1_FF_TX_D_22": {
  5627.           "direction": "input",
  5628.           "bits": [ 63 ]
  5629.         },
  5630.         "CH0_FF_TX_D_23": {
  5631.           "direction": "input",
  5632.           "bits": [ 64 ]
  5633.         },
  5634.         "CH1_FF_TX_D_23": {
  5635.           "direction": "input",
  5636.           "bits": [ 65 ]
  5637.         },
  5638.         "CH0_FFC_EI_EN": {
  5639.           "direction": "input",
  5640.           "bits": [ 66 ]
  5641.         },
  5642.         "CH1_FFC_EI_EN": {
  5643.           "direction": "input",
  5644.           "bits": [ 67 ]
  5645.         },
  5646.         "CH0_FFC_PCIE_DET_EN": {
  5647.           "direction": "input",
  5648.           "bits": [ 68 ]
  5649.         },
  5650.         "CH1_FFC_PCIE_DET_EN": {
  5651.           "direction": "input",
  5652.           "bits": [ 69 ]
  5653.         },
  5654.         "CH0_FFC_PCIE_CT": {
  5655.           "direction": "input",
  5656.           "bits": [ 70 ]
  5657.         },
  5658.         "CH1_FFC_PCIE_CT": {
  5659.           "direction": "input",
  5660.           "bits": [ 71 ]
  5661.         },
  5662.         "CH0_FFC_SB_INV_RX": {
  5663.           "direction": "input",
  5664.           "bits": [ 72 ]
  5665.         },
  5666.         "CH1_FFC_SB_INV_RX": {
  5667.           "direction": "input",
  5668.           "bits": [ 73 ]
  5669.         },
  5670.         "CH0_FFC_ENABLE_CGALIGN": {
  5671.           "direction": "input",
  5672.           "bits": [ 74 ]
  5673.         },
  5674.         "CH1_FFC_ENABLE_CGALIGN": {
  5675.           "direction": "input",
  5676.           "bits": [ 75 ]
  5677.         },
  5678.         "CH0_FFC_SIGNAL_DETECT": {
  5679.           "direction": "input",
  5680.           "bits": [ 76 ]
  5681.         },
  5682.         "CH1_FFC_SIGNAL_DETECT": {
  5683.           "direction": "input",
  5684.           "bits": [ 77 ]
  5685.         },
  5686.         "CH0_FFC_FB_LOOPBACK": {
  5687.           "direction": "input",
  5688.           "bits": [ 78 ]
  5689.         },
  5690.         "CH1_FFC_FB_LOOPBACK": {
  5691.           "direction": "input",
  5692.           "bits": [ 79 ]
  5693.         },
  5694.         "CH0_FFC_SB_PFIFO_LP": {
  5695.           "direction": "input",
  5696.           "bits": [ 80 ]
  5697.         },
  5698.         "CH1_FFC_SB_PFIFO_LP": {
  5699.           "direction": "input",
  5700.           "bits": [ 81 ]
  5701.         },
  5702.         "CH0_FFC_PFIFO_CLR": {
  5703.           "direction": "input",
  5704.           "bits": [ 82 ]
  5705.         },
  5706.         "CH1_FFC_PFIFO_CLR": {
  5707.           "direction": "input",
  5708.           "bits": [ 83 ]
  5709.         },
  5710.         "CH0_FFC_RATE_MODE_RX": {
  5711.           "direction": "input",
  5712.           "bits": [ 84 ]
  5713.         },
  5714.         "CH1_FFC_RATE_MODE_RX": {
  5715.           "direction": "input",
  5716.           "bits": [ 85 ]
  5717.         },
  5718.         "CH0_FFC_RATE_MODE_TX": {
  5719.           "direction": "input",
  5720.           "bits": [ 86 ]
  5721.         },
  5722.         "CH1_FFC_RATE_MODE_TX": {
  5723.           "direction": "input",
  5724.           "bits": [ 87 ]
  5725.         },
  5726.         "CH0_FFC_DIV11_MODE_RX": {
  5727.           "direction": "input",
  5728.           "bits": [ 88 ]
  5729.         },
  5730.         "CH1_FFC_DIV11_MODE_RX": {
  5731.           "direction": "input",
  5732.           "bits": [ 89 ]
  5733.         },
  5734.         "CH0_FFC_RX_GEAR_MODE": {
  5735.           "direction": "input",
  5736.           "bits": [ 90 ]
  5737.         },
  5738.         "CH1_FFC_RX_GEAR_MODE": {
  5739.           "direction": "input",
  5740.           "bits": [ 91 ]
  5741.         },
  5742.         "CH0_FFC_TX_GEAR_MODE": {
  5743.           "direction": "input",
  5744.           "bits": [ 92 ]
  5745.         },
  5746.         "CH1_FFC_TX_GEAR_MODE": {
  5747.           "direction": "input",
  5748.           "bits": [ 93 ]
  5749.         },
  5750.         "CH0_FFC_DIV11_MODE_TX": {
  5751.           "direction": "input",
  5752.           "bits": [ 94 ]
  5753.         },
  5754.         "CH1_FFC_DIV11_MODE_TX": {
  5755.           "direction": "input",
  5756.           "bits": [ 95 ]
  5757.         },
  5758.         "CH0_FFC_LDR_CORE2TX_EN": {
  5759.           "direction": "input",
  5760.           "bits": [ 96 ]
  5761.         },
  5762.         "CH1_FFC_LDR_CORE2TX_EN": {
  5763.           "direction": "input",
  5764.           "bits": [ 97 ]
  5765.         },
  5766.         "CH0_FFC_LANE_TX_RST": {
  5767.           "direction": "input",
  5768.           "bits": [ 98 ]
  5769.         },
  5770.         "CH1_FFC_LANE_TX_RST": {
  5771.           "direction": "input",
  5772.           "bits": [ 99 ]
  5773.         },
  5774.         "CH0_FFC_LANE_RX_RST": {
  5775.           "direction": "input",
  5776.           "bits": [ 100 ]
  5777.         },
  5778.         "CH1_FFC_LANE_RX_RST": {
  5779.           "direction": "input",
  5780.           "bits": [ 101 ]
  5781.         },
  5782.         "CH0_FFC_RRST": {
  5783.           "direction": "input",
  5784.           "bits": [ 102 ]
  5785.         },
  5786.         "CH1_FFC_RRST": {
  5787.           "direction": "input",
  5788.           "bits": [ 103 ]
  5789.         },
  5790.         "CH0_FFC_TXPWDNB": {
  5791.           "direction": "input",
  5792.           "bits": [ 104 ]
  5793.         },
  5794.         "CH1_FFC_TXPWDNB": {
  5795.           "direction": "input",
  5796.           "bits": [ 105 ]
  5797.         },
  5798.         "CH0_FFC_RXPWDNB": {
  5799.           "direction": "input",
  5800.           "bits": [ 106 ]
  5801.         },
  5802.         "CH1_FFC_RXPWDNB": {
  5803.           "direction": "input",
  5804.           "bits": [ 107 ]
  5805.         },
  5806.         "CH0_LDR_CORE2TX": {
  5807.           "direction": "input",
  5808.           "bits": [ 108 ]
  5809.         },
  5810.         "CH1_LDR_CORE2TX": {
  5811.           "direction": "input",
  5812.           "bits": [ 109 ]
  5813.         },
  5814.         "D_SCIWDATA0": {
  5815.           "direction": "input",
  5816.           "bits": [ 110 ]
  5817.         },
  5818.         "D_SCIWDATA1": {
  5819.           "direction": "input",
  5820.           "bits": [ 111 ]
  5821.         },
  5822.         "D_SCIWDATA2": {
  5823.           "direction": "input",
  5824.           "bits": [ 112 ]
  5825.         },
  5826.         "D_SCIWDATA3": {
  5827.           "direction": "input",
  5828.           "bits": [ 113 ]
  5829.         },
  5830.         "D_SCIWDATA4": {
  5831.           "direction": "input",
  5832.           "bits": [ 114 ]
  5833.         },
  5834.         "D_SCIWDATA5": {
  5835.           "direction": "input",
  5836.           "bits": [ 115 ]
  5837.         },
  5838.         "D_SCIWDATA6": {
  5839.           "direction": "input",
  5840.           "bits": [ 116 ]
  5841.         },
  5842.         "D_SCIWDATA7": {
  5843.           "direction": "input",
  5844.           "bits": [ 117 ]
  5845.         },
  5846.         "D_SCIADDR0": {
  5847.           "direction": "input",
  5848.           "bits": [ 118 ]
  5849.         },
  5850.         "D_SCIADDR1": {
  5851.           "direction": "input",
  5852.           "bits": [ 119 ]
  5853.         },
  5854.         "D_SCIADDR2": {
  5855.           "direction": "input",
  5856.           "bits": [ 120 ]
  5857.         },
  5858.         "D_SCIADDR3": {
  5859.           "direction": "input",
  5860.           "bits": [ 121 ]
  5861.         },
  5862.         "D_SCIADDR4": {
  5863.           "direction": "input",
  5864.           "bits": [ 122 ]
  5865.         },
  5866.         "D_SCIADDR5": {
  5867.           "direction": "input",
  5868.           "bits": [ 123 ]
  5869.         },
  5870.         "D_SCIENAUX": {
  5871.           "direction": "input",
  5872.           "bits": [ 124 ]
  5873.         },
  5874.         "D_SCISELAUX": {
  5875.           "direction": "input",
  5876.           "bits": [ 125 ]
  5877.         },
  5878.         "CH0_SCIEN": {
  5879.           "direction": "input",
  5880.           "bits": [ 126 ]
  5881.         },
  5882.         "CH1_SCIEN": {
  5883.           "direction": "input",
  5884.           "bits": [ 127 ]
  5885.         },
  5886.         "CH0_SCISEL": {
  5887.           "direction": "input",
  5888.           "bits": [ 128 ]
  5889.         },
  5890.         "CH1_SCISEL": {
  5891.           "direction": "input",
  5892.           "bits": [ 129 ]
  5893.         },
  5894.         "D_SCIRD": {
  5895.           "direction": "input",
  5896.           "bits": [ 130 ]
  5897.         },
  5898.         "D_SCIWSTN": {
  5899.           "direction": "input",
  5900.           "bits": [ 131 ]
  5901.         },
  5902.         "D_CYAWSTN": {
  5903.           "direction": "input",
  5904.           "bits": [ 132 ]
  5905.         },
  5906.         "D_FFC_SYNC_TOGGLE": {
  5907.           "direction": "input",
  5908.           "bits": [ 133 ]
  5909.         },
  5910.         "D_FFC_DUAL_RST": {
  5911.           "direction": "input",
  5912.           "bits": [ 134 ]
  5913.         },
  5914.         "D_FFC_MACRO_RST": {
  5915.           "direction": "input",
  5916.           "bits": [ 135 ]
  5917.         },
  5918.         "D_FFC_MACROPDB": {
  5919.           "direction": "input",
  5920.           "bits": [ 136 ]
  5921.         },
  5922.         "D_FFC_TRST": {
  5923.           "direction": "input",
  5924.           "bits": [ 137 ]
  5925.         },
  5926.         "CH0_FFC_CDR_EN_BITSLIP": {
  5927.           "direction": "input",
  5928.           "bits": [ 138 ]
  5929.         },
  5930.         "CH1_FFC_CDR_EN_BITSLIP": {
  5931.           "direction": "input",
  5932.           "bits": [ 139 ]
  5933.         },
  5934.         "D_SCAN_ENABLE": {
  5935.           "direction": "input",
  5936.           "bits": [ 140 ]
  5937.         },
  5938.         "D_SCAN_IN_0": {
  5939.           "direction": "input",
  5940.           "bits": [ 141 ]
  5941.         },
  5942.         "D_SCAN_IN_1": {
  5943.           "direction": "input",
  5944.           "bits": [ 142 ]
  5945.         },
  5946.         "D_SCAN_IN_2": {
  5947.           "direction": "input",
  5948.           "bits": [ 143 ]
  5949.         },
  5950.         "D_SCAN_IN_3": {
  5951.           "direction": "input",
  5952.           "bits": [ 144 ]
  5953.         },
  5954.         "D_SCAN_IN_4": {
  5955.           "direction": "input",
  5956.           "bits": [ 145 ]
  5957.         },
  5958.         "D_SCAN_IN_5": {
  5959.           "direction": "input",
  5960.           "bits": [ 146 ]
  5961.         },
  5962.         "D_SCAN_IN_6": {
  5963.           "direction": "input",
  5964.           "bits": [ 147 ]
  5965.         },
  5966.         "D_SCAN_IN_7": {
  5967.           "direction": "input",
  5968.           "bits": [ 148 ]
  5969.         },
  5970.         "D_SCAN_MODE": {
  5971.           "direction": "input",
  5972.           "bits": [ 149 ]
  5973.         },
  5974.         "D_SCAN_RESET": {
  5975.           "direction": "input",
  5976.           "bits": [ 150 ]
  5977.         },
  5978.         "D_CIN0": {
  5979.           "direction": "input",
  5980.           "bits": [ 151 ]
  5981.         },
  5982.         "D_CIN1": {
  5983.           "direction": "input",
  5984.           "bits": [ 152 ]
  5985.         },
  5986.         "D_CIN2": {
  5987.           "direction": "input",
  5988.           "bits": [ 153 ]
  5989.         },
  5990.         "D_CIN3": {
  5991.           "direction": "input",
  5992.           "bits": [ 154 ]
  5993.         },
  5994.         "D_CIN4": {
  5995.           "direction": "input",
  5996.           "bits": [ 155 ]
  5997.         },
  5998.         "D_CIN5": {
  5999.           "direction": "input",
  6000.           "bits": [ 156 ]
  6001.         },
  6002.         "D_CIN6": {
  6003.           "direction": "input",
  6004.           "bits": [ 157 ]
  6005.         },
  6006.         "D_CIN7": {
  6007.           "direction": "input",
  6008.           "bits": [ 158 ]
  6009.         },
  6010.         "D_CIN8": {
  6011.           "direction": "input",
  6012.           "bits": [ 159 ]
  6013.         },
  6014.         "D_CIN9": {
  6015.           "direction": "input",
  6016.           "bits": [ 160 ]
  6017.         },
  6018.         "D_CIN10": {
  6019.           "direction": "input",
  6020.           "bits": [ 161 ]
  6021.         },
  6022.         "D_CIN11": {
  6023.           "direction": "input",
  6024.           "bits": [ 162 ]
  6025.         },
  6026.         "CH0_HDOUTP": {
  6027.           "direction": "output",
  6028.           "bits": [ 163 ]
  6029.         },
  6030.         "CH1_HDOUTP": {
  6031.           "direction": "output",
  6032.           "bits": [ 164 ]
  6033.         },
  6034.         "CH0_HDOUTN": {
  6035.           "direction": "output",
  6036.           "bits": [ 165 ]
  6037.         },
  6038.         "CH1_HDOUTN": {
  6039.           "direction": "output",
  6040.           "bits": [ 166 ]
  6041.         },
  6042.         "D_TXBIT_CLKP_TO_ND": {
  6043.           "direction": "output",
  6044.           "bits": [ 167 ]
  6045.         },
  6046.         "D_TXBIT_CLKN_TO_ND": {
  6047.           "direction": "output",
  6048.           "bits": [ 168 ]
  6049.         },
  6050.         "D_SYNC_PULSE2ND": {
  6051.           "direction": "output",
  6052.           "bits": [ 169 ]
  6053.         },
  6054.         "D_TXPLL_LOL_TO_ND": {
  6055.           "direction": "output",
  6056.           "bits": [ 170 ]
  6057.         },
  6058.         "CH0_FF_RX_F_CLK": {
  6059.           "direction": "output",
  6060.           "bits": [ 171 ]
  6061.         },
  6062.         "CH1_FF_RX_F_CLK": {
  6063.           "direction": "output",
  6064.           "bits": [ 172 ]
  6065.         },
  6066.         "CH0_FF_RX_H_CLK": {
  6067.           "direction": "output",
  6068.           "bits": [ 173 ]
  6069.         },
  6070.         "CH1_FF_RX_H_CLK": {
  6071.           "direction": "output",
  6072.           "bits": [ 174 ]
  6073.         },
  6074.         "CH0_FF_TX_F_CLK": {
  6075.           "direction": "output",
  6076.           "bits": [ 175 ]
  6077.         },
  6078.         "CH1_FF_TX_F_CLK": {
  6079.           "direction": "output",
  6080.           "bits": [ 176 ]
  6081.         },
  6082.         "CH0_FF_TX_H_CLK": {
  6083.           "direction": "output",
  6084.           "bits": [ 177 ]
  6085.         },
  6086.         "CH1_FF_TX_H_CLK": {
  6087.           "direction": "output",
  6088.           "bits": [ 178 ]
  6089.         },
  6090.         "CH0_FF_RX_PCLK": {
  6091.           "direction": "output",
  6092.           "bits": [ 179 ]
  6093.         },
  6094.         "CH1_FF_RX_PCLK": {
  6095.           "direction": "output",
  6096.           "bits": [ 180 ]
  6097.         },
  6098.         "CH0_FF_TX_PCLK": {
  6099.           "direction": "output",
  6100.           "bits": [ 181 ]
  6101.         },
  6102.         "CH1_FF_TX_PCLK": {
  6103.           "direction": "output",
  6104.           "bits": [ 182 ]
  6105.         },
  6106.         "CH0_FF_RX_D_0": {
  6107.           "direction": "output",
  6108.           "bits": [ 183 ]
  6109.         },
  6110.         "CH1_FF_RX_D_0": {
  6111.           "direction": "output",
  6112.           "bits": [ 184 ]
  6113.         },
  6114.         "CH0_FF_RX_D_1": {
  6115.           "direction": "output",
  6116.           "bits": [ 185 ]
  6117.         },
  6118.         "CH1_FF_RX_D_1": {
  6119.           "direction": "output",
  6120.           "bits": [ 186 ]
  6121.         },
  6122.         "CH0_FF_RX_D_2": {
  6123.           "direction": "output",
  6124.           "bits": [ 187 ]
  6125.         },
  6126.         "CH1_FF_RX_D_2": {
  6127.           "direction": "output",
  6128.           "bits": [ 188 ]
  6129.         },
  6130.         "CH0_FF_RX_D_3": {
  6131.           "direction": "output",
  6132.           "bits": [ 189 ]
  6133.         },
  6134.         "CH1_FF_RX_D_3": {
  6135.           "direction": "output",
  6136.           "bits": [ 190 ]
  6137.         },
  6138.         "CH0_FF_RX_D_4": {
  6139.           "direction": "output",
  6140.           "bits": [ 191 ]
  6141.         },
  6142.         "CH1_FF_RX_D_4": {
  6143.           "direction": "output",
  6144.           "bits": [ 192 ]
  6145.         },
  6146.         "CH0_FF_RX_D_5": {
  6147.           "direction": "output",
  6148.           "bits": [ 193 ]
  6149.         },
  6150.         "CH1_FF_RX_D_5": {
  6151.           "direction": "output",
  6152.           "bits": [ 194 ]
  6153.         },
  6154.         "CH0_FF_RX_D_6": {
  6155.           "direction": "output",
  6156.           "bits": [ 195 ]
  6157.         },
  6158.         "CH1_FF_RX_D_6": {
  6159.           "direction": "output",
  6160.           "bits": [ 196 ]
  6161.         },
  6162.         "CH0_FF_RX_D_7": {
  6163.           "direction": "output",
  6164.           "bits": [ 197 ]
  6165.         },
  6166.         "CH1_FF_RX_D_7": {
  6167.           "direction": "output",
  6168.           "bits": [ 198 ]
  6169.         },
  6170.         "CH0_FF_RX_D_8": {
  6171.           "direction": "output",
  6172.           "bits": [ 199 ]
  6173.         },
  6174.         "CH1_FF_RX_D_8": {
  6175.           "direction": "output",
  6176.           "bits": [ 200 ]
  6177.         },
  6178.         "CH0_FF_RX_D_9": {
  6179.           "direction": "output",
  6180.           "bits": [ 201 ]
  6181.         },
  6182.         "CH1_FF_RX_D_9": {
  6183.           "direction": "output",
  6184.           "bits": [ 202 ]
  6185.         },
  6186.         "CH0_FF_RX_D_10": {
  6187.           "direction": "output",
  6188.           "bits": [ 203 ]
  6189.         },
  6190.         "CH1_FF_RX_D_10": {
  6191.           "direction": "output",
  6192.           "bits": [ 204 ]
  6193.         },
  6194.         "CH0_FF_RX_D_11": {
  6195.           "direction": "output",
  6196.           "bits": [ 205 ]
  6197.         },
  6198.         "CH1_FF_RX_D_11": {
  6199.           "direction": "output",
  6200.           "bits": [ 206 ]
  6201.         },
  6202.         "CH0_FF_RX_D_12": {
  6203.           "direction": "output",
  6204.           "bits": [ 207 ]
  6205.         },
  6206.         "CH1_FF_RX_D_12": {
  6207.           "direction": "output",
  6208.           "bits": [ 208 ]
  6209.         },
  6210.         "CH0_FF_RX_D_13": {
  6211.           "direction": "output",
  6212.           "bits": [ 209 ]
  6213.         },
  6214.         "CH1_FF_RX_D_13": {
  6215.           "direction": "output",
  6216.           "bits": [ 210 ]
  6217.         },
  6218.         "CH0_FF_RX_D_14": {
  6219.           "direction": "output",
  6220.           "bits": [ 211 ]
  6221.         },
  6222.         "CH1_FF_RX_D_14": {
  6223.           "direction": "output",
  6224.           "bits": [ 212 ]
  6225.         },
  6226.         "CH0_FF_RX_D_15": {
  6227.           "direction": "output",
  6228.           "bits": [ 213 ]
  6229.         },
  6230.         "CH1_FF_RX_D_15": {
  6231.           "direction": "output",
  6232.           "bits": [ 214 ]
  6233.         },
  6234.         "CH0_FF_RX_D_16": {
  6235.           "direction": "output",
  6236.           "bits": [ 215 ]
  6237.         },
  6238.         "CH1_FF_RX_D_16": {
  6239.           "direction": "output",
  6240.           "bits": [ 216 ]
  6241.         },
  6242.         "CH0_FF_RX_D_17": {
  6243.           "direction": "output",
  6244.           "bits": [ 217 ]
  6245.         },
  6246.         "CH1_FF_RX_D_17": {
  6247.           "direction": "output",
  6248.           "bits": [ 218 ]
  6249.         },
  6250.         "CH0_FF_RX_D_18": {
  6251.           "direction": "output",
  6252.           "bits": [ 219 ]
  6253.         },
  6254.         "CH1_FF_RX_D_18": {
  6255.           "direction": "output",
  6256.           "bits": [ 220 ]
  6257.         },
  6258.         "CH0_FF_RX_D_19": {
  6259.           "direction": "output",
  6260.           "bits": [ 221 ]
  6261.         },
  6262.         "CH1_FF_RX_D_19": {
  6263.           "direction": "output",
  6264.           "bits": [ 222 ]
  6265.         },
  6266.         "CH0_FF_RX_D_20": {
  6267.           "direction": "output",
  6268.           "bits": [ 223 ]
  6269.         },
  6270.         "CH1_FF_RX_D_20": {
  6271.           "direction": "output",
  6272.           "bits": [ 224 ]
  6273.         },
  6274.         "CH0_FF_RX_D_21": {
  6275.           "direction": "output",
  6276.           "bits": [ 225 ]
  6277.         },
  6278.         "CH1_FF_RX_D_21": {
  6279.           "direction": "output",
  6280.           "bits": [ 226 ]
  6281.         },
  6282.         "CH0_FF_RX_D_22": {
  6283.           "direction": "output",
  6284.           "bits": [ 227 ]
  6285.         },
  6286.         "CH1_FF_RX_D_22": {
  6287.           "direction": "output",
  6288.           "bits": [ 228 ]
  6289.         },
  6290.         "CH0_FF_RX_D_23": {
  6291.           "direction": "output",
  6292.           "bits": [ 229 ]
  6293.         },
  6294.         "CH1_FF_RX_D_23": {
  6295.           "direction": "output",
  6296.           "bits": [ 230 ]
  6297.         },
  6298.         "CH0_FFS_PCIE_DONE": {
  6299.           "direction": "output",
  6300.           "bits": [ 231 ]
  6301.         },
  6302.         "CH1_FFS_PCIE_DONE": {
  6303.           "direction": "output",
  6304.           "bits": [ 232 ]
  6305.         },
  6306.         "CH0_FFS_PCIE_CON": {
  6307.           "direction": "output",
  6308.           "bits": [ 233 ]
  6309.         },
  6310.         "CH1_FFS_PCIE_CON": {
  6311.           "direction": "output",
  6312.           "bits": [ 234 ]
  6313.         },
  6314.         "CH0_FFS_RLOS": {
  6315.           "direction": "output",
  6316.           "bits": [ 235 ]
  6317.         },
  6318.         "CH1_FFS_RLOS": {
  6319.           "direction": "output",
  6320.           "bits": [ 236 ]
  6321.         },
  6322.         "CH0_FFS_LS_SYNC_STATUS": {
  6323.           "direction": "output",
  6324.           "bits": [ 237 ]
  6325.         },
  6326.         "CH1_FFS_LS_SYNC_STATUS": {
  6327.           "direction": "output",
  6328.           "bits": [ 238 ]
  6329.         },
  6330.         "CH0_FFS_CC_UNDERRUN": {
  6331.           "direction": "output",
  6332.           "bits": [ 239 ]
  6333.         },
  6334.         "CH1_FFS_CC_UNDERRUN": {
  6335.           "direction": "output",
  6336.           "bits": [ 240 ]
  6337.         },
  6338.         "CH0_FFS_CC_OVERRUN": {
  6339.           "direction": "output",
  6340.           "bits": [ 241 ]
  6341.         },
  6342.         "CH1_FFS_CC_OVERRUN": {
  6343.           "direction": "output",
  6344.           "bits": [ 242 ]
  6345.         },
  6346.         "CH0_FFS_RXFBFIFO_ERROR": {
  6347.           "direction": "output",
  6348.           "bits": [ 243 ]
  6349.         },
  6350.         "CH1_FFS_RXFBFIFO_ERROR": {
  6351.           "direction": "output",
  6352.           "bits": [ 244 ]
  6353.         },
  6354.         "CH0_FFS_TXFBFIFO_ERROR": {
  6355.           "direction": "output",
  6356.           "bits": [ 245 ]
  6357.         },
  6358.         "CH1_FFS_TXFBFIFO_ERROR": {
  6359.           "direction": "output",
  6360.           "bits": [ 246 ]
  6361.         },
  6362.         "CH0_FFS_RLOL": {
  6363.           "direction": "output",
  6364.           "bits": [ 247 ]
  6365.         },
  6366.         "CH1_FFS_RLOL": {
  6367.           "direction": "output",
  6368.           "bits": [ 248 ]
  6369.         },
  6370.         "CH0_FFS_SKP_ADDED": {
  6371.           "direction": "output",
  6372.           "bits": [ 249 ]
  6373.         },
  6374.         "CH1_FFS_SKP_ADDED": {
  6375.           "direction": "output",
  6376.           "bits": [ 250 ]
  6377.         },
  6378.         "CH0_FFS_SKP_DELETED": {
  6379.           "direction": "output",
  6380.           "bits": [ 251 ]
  6381.         },
  6382.         "CH1_FFS_SKP_DELETED": {
  6383.           "direction": "output",
  6384.           "bits": [ 252 ]
  6385.         },
  6386.         "CH0_LDR_RX2CORE": {
  6387.           "direction": "output",
  6388.           "bits": [ 253 ]
  6389.         },
  6390.         "CH1_LDR_RX2CORE": {
  6391.           "direction": "output",
  6392.           "bits": [ 254 ]
  6393.         },
  6394.         "D_SCIRDATA0": {
  6395.           "direction": "output",
  6396.           "bits": [ 255 ]
  6397.         },
  6398.         "D_SCIRDATA1": {
  6399.           "direction": "output",
  6400.           "bits": [ 256 ]
  6401.         },
  6402.         "D_SCIRDATA2": {
  6403.           "direction": "output",
  6404.           "bits": [ 257 ]
  6405.         },
  6406.         "D_SCIRDATA3": {
  6407.           "direction": "output",
  6408.           "bits": [ 258 ]
  6409.         },
  6410.         "D_SCIRDATA4": {
  6411.           "direction": "output",
  6412.           "bits": [ 259 ]
  6413.         },
  6414.         "D_SCIRDATA5": {
  6415.           "direction": "output",
  6416.           "bits": [ 260 ]
  6417.         },
  6418.         "D_SCIRDATA6": {
  6419.           "direction": "output",
  6420.           "bits": [ 261 ]
  6421.         },
  6422.         "D_SCIRDATA7": {
  6423.           "direction": "output",
  6424.           "bits": [ 262 ]
  6425.         },
  6426.         "D_SCIINT": {
  6427.           "direction": "output",
  6428.           "bits": [ 263 ]
  6429.         },
  6430.         "D_SCAN_OUT_0": {
  6431.           "direction": "output",
  6432.           "bits": [ 264 ]
  6433.         },
  6434.         "D_SCAN_OUT_1": {
  6435.           "direction": "output",
  6436.           "bits": [ 265 ]
  6437.         },
  6438.         "D_SCAN_OUT_2": {
  6439.           "direction": "output",
  6440.           "bits": [ 266 ]
  6441.         },
  6442.         "D_SCAN_OUT_3": {
  6443.           "direction": "output",
  6444.           "bits": [ 267 ]
  6445.         },
  6446.         "D_SCAN_OUT_4": {
  6447.           "direction": "output",
  6448.           "bits": [ 268 ]
  6449.         },
  6450.         "D_SCAN_OUT_5": {
  6451.           "direction": "output",
  6452.           "bits": [ 269 ]
  6453.         },
  6454.         "D_SCAN_OUT_6": {
  6455.           "direction": "output",
  6456.           "bits": [ 270 ]
  6457.         },
  6458.         "D_SCAN_OUT_7": {
  6459.           "direction": "output",
  6460.           "bits": [ 271 ]
  6461.         },
  6462.         "D_COUT0": {
  6463.           "direction": "output",
  6464.           "bits": [ 272 ]
  6465.         },
  6466.         "D_COUT1": {
  6467.           "direction": "output",
  6468.           "bits": [ 273 ]
  6469.         },
  6470.         "D_COUT2": {
  6471.           "direction": "output",
  6472.           "bits": [ 274 ]
  6473.         },
  6474.         "D_COUT3": {
  6475.           "direction": "output",
  6476.           "bits": [ 275 ]
  6477.         },
  6478.         "D_COUT4": {
  6479.           "direction": "output",
  6480.           "bits": [ 276 ]
  6481.         },
  6482.         "D_COUT5": {
  6483.           "direction": "output",
  6484.           "bits": [ 277 ]
  6485.         },
  6486.         "D_COUT6": {
  6487.           "direction": "output",
  6488.           "bits": [ 278 ]
  6489.         },
  6490.         "D_COUT7": {
  6491.           "direction": "output",
  6492.           "bits": [ 279 ]
  6493.         },
  6494.         "D_COUT8": {
  6495.           "direction": "output",
  6496.           "bits": [ 280 ]
  6497.         },
  6498.         "D_COUT9": {
  6499.           "direction": "output",
  6500.           "bits": [ 281 ]
  6501.         },
  6502.         "D_COUT10": {
  6503.           "direction": "output",
  6504.           "bits": [ 282 ]
  6505.         },
  6506.         "D_COUT11": {
  6507.           "direction": "output",
  6508.           "bits": [ 283 ]
  6509.         },
  6510.         "D_COUT12": {
  6511.           "direction": "output",
  6512.           "bits": [ 284 ]
  6513.         },
  6514.         "D_COUT13": {
  6515.           "direction": "output",
  6516.           "bits": [ 285 ]
  6517.         },
  6518.         "D_COUT14": {
  6519.           "direction": "output",
  6520.           "bits": [ 286 ]
  6521.         },
  6522.         "D_COUT15": {
  6523.           "direction": "output",
  6524.           "bits": [ 287 ]
  6525.         },
  6526.         "D_COUT16": {
  6527.           "direction": "output",
  6528.           "bits": [ 288 ]
  6529.         },
  6530.         "D_COUT17": {
  6531.           "direction": "output",
  6532.           "bits": [ 289 ]
  6533.         },
  6534.         "D_COUT18": {
  6535.           "direction": "output",
  6536.           "bits": [ 290 ]
  6537.         },
  6538.         "D_COUT19": {
  6539.           "direction": "output",
  6540.           "bits": [ 291 ]
  6541.         },
  6542.         "D_REFCLKI": {
  6543.           "direction": "input",
  6544.           "bits": [ 292 ]
  6545.         },
  6546.         "D_FFS_PLOL": {
  6547.           "direction": "output",
  6548.           "bits": [ 293 ]
  6549.         }
  6550.       },
  6551.       "cells": {
  6552.       },
  6553.       "netnames": {
  6554.         "CH0_FFC_CDR_EN_BITSLIP": {
  6555.           "hide_name": 0,
  6556.           "bits": [ 138 ],
  6557.           "attributes": {
  6558.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  6559.           }
  6560.         },
  6561.         "CH0_FFC_DIV11_MODE_RX": {
  6562.           "hide_name": 0,
  6563.           "bits": [ 88 ],
  6564.           "attributes": {
  6565.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6566.           }
  6567.         },
  6568.         "CH0_FFC_DIV11_MODE_TX": {
  6569.           "hide_name": 0,
  6570.           "bits": [ 94 ],
  6571.           "attributes": {
  6572.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  6573.           }
  6574.         },
  6575.         "CH0_FFC_EI_EN": {
  6576.           "hide_name": 0,
  6577.           "bits": [ 66 ],
  6578.           "attributes": {
  6579.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  6580.           }
  6581.         },
  6582.         "CH0_FFC_ENABLE_CGALIGN": {
  6583.           "hide_name": 0,
  6584.           "bits": [ 74 ],
  6585.           "attributes": {
  6586.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  6587.           }
  6588.         },
  6589.         "CH0_FFC_FB_LOOPBACK": {
  6590.           "hide_name": 0,
  6591.           "bits": [ 78 ],
  6592.           "attributes": {
  6593.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  6594.           }
  6595.         },
  6596.         "CH0_FFC_LANE_RX_RST": {
  6597.           "hide_name": 0,
  6598.           "bits": [ 100 ],
  6599.           "attributes": {
  6600.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  6601.           }
  6602.         },
  6603.         "CH0_FFC_LANE_TX_RST": {
  6604.           "hide_name": 0,
  6605.           "bits": [ 98 ],
  6606.           "attributes": {
  6607.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  6608.           }
  6609.         },
  6610.         "CH0_FFC_LDR_CORE2TX_EN": {
  6611.           "hide_name": 0,
  6612.           "bits": [ 96 ],
  6613.           "attributes": {
  6614.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  6615.           }
  6616.         },
  6617.         "CH0_FFC_PCIE_CT": {
  6618.           "hide_name": 0,
  6619.           "bits": [ 70 ],
  6620.           "attributes": {
  6621.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  6622.           }
  6623.         },
  6624.         "CH0_FFC_PCIE_DET_EN": {
  6625.           "hide_name": 0,
  6626.           "bits": [ 68 ],
  6627.           "attributes": {
  6628.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  6629.           }
  6630.         },
  6631.         "CH0_FFC_PFIFO_CLR": {
  6632.           "hide_name": 0,
  6633.           "bits": [ 82 ],
  6634.           "attributes": {
  6635.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6636.           }
  6637.         },
  6638.         "CH0_FFC_RATE_MODE_RX": {
  6639.           "hide_name": 0,
  6640.           "bits": [ 84 ],
  6641.           "attributes": {
  6642.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6643.           }
  6644.         },
  6645.         "CH0_FFC_RATE_MODE_TX": {
  6646.           "hide_name": 0,
  6647.           "bits": [ 86 ],
  6648.           "attributes": {
  6649.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6650.           }
  6651.         },
  6652.         "CH0_FFC_RRST": {
  6653.           "hide_name": 0,
  6654.           "bits": [ 102 ],
  6655.           "attributes": {
  6656.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  6657.           }
  6658.         },
  6659.         "CH0_FFC_RXPWDNB": {
  6660.           "hide_name": 0,
  6661.           "bits": [ 106 ],
  6662.           "attributes": {
  6663.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  6664.           }
  6665.         },
  6666.         "CH0_FFC_RX_GEAR_MODE": {
  6667.           "hide_name": 0,
  6668.           "bits": [ 90 ],
  6669.           "attributes": {
  6670.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6671.           }
  6672.         },
  6673.         "CH0_FFC_SB_INV_RX": {
  6674.           "hide_name": 0,
  6675.           "bits": [ 72 ],
  6676.           "attributes": {
  6677.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  6678.           }
  6679.         },
  6680.         "CH0_FFC_SB_PFIFO_LP": {
  6681.           "hide_name": 0,
  6682.           "bits": [ 80 ],
  6683.           "attributes": {
  6684.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  6685.           }
  6686.         },
  6687.         "CH0_FFC_SIGNAL_DETECT": {
  6688.           "hide_name": 0,
  6689.           "bits": [ 76 ],
  6690.           "attributes": {
  6691.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  6692.           }
  6693.         },
  6694.         "CH0_FFC_TXPWDNB": {
  6695.           "hide_name": 0,
  6696.           "bits": [ 104 ],
  6697.           "attributes": {
  6698.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  6699.           }
  6700.         },
  6701.         "CH0_FFC_TX_GEAR_MODE": {
  6702.           "hide_name": 0,
  6703.           "bits": [ 92 ],
  6704.           "attributes": {
  6705.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  6706.           }
  6707.         },
  6708.         "CH0_FFS_CC_OVERRUN": {
  6709.           "hide_name": 0,
  6710.           "bits": [ 241 ],
  6711.           "attributes": {
  6712.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  6713.           }
  6714.         },
  6715.         "CH0_FFS_CC_UNDERRUN": {
  6716.           "hide_name": 0,
  6717.           "bits": [ 239 ],
  6718.           "attributes": {
  6719.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  6720.           }
  6721.         },
  6722.         "CH0_FFS_LS_SYNC_STATUS": {
  6723.           "hide_name": 0,
  6724.           "bits": [ 237 ],
  6725.           "attributes": {
  6726.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  6727.           }
  6728.         },
  6729.         "CH0_FFS_PCIE_CON": {
  6730.           "hide_name": 0,
  6731.           "bits": [ 233 ],
  6732.           "attributes": {
  6733.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  6734.           }
  6735.         },
  6736.         "CH0_FFS_PCIE_DONE": {
  6737.           "hide_name": 0,
  6738.           "bits": [ 231 ],
  6739.           "attributes": {
  6740.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  6741.           }
  6742.         },
  6743.         "CH0_FFS_RLOL": {
  6744.           "hide_name": 0,
  6745.           "bits": [ 247 ],
  6746.           "attributes": {
  6747.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  6748.           }
  6749.         },
  6750.         "CH0_FFS_RLOS": {
  6751.           "hide_name": 0,
  6752.           "bits": [ 235 ],
  6753.           "attributes": {
  6754.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  6755.           }
  6756.         },
  6757.         "CH0_FFS_RXFBFIFO_ERROR": {
  6758.           "hide_name": 0,
  6759.           "bits": [ 243 ],
  6760.           "attributes": {
  6761.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  6762.           }
  6763.         },
  6764.         "CH0_FFS_SKP_ADDED": {
  6765.           "hide_name": 0,
  6766.           "bits": [ 249 ],
  6767.           "attributes": {
  6768.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  6769.           }
  6770.         },
  6771.         "CH0_FFS_SKP_DELETED": {
  6772.           "hide_name": 0,
  6773.           "bits": [ 251 ],
  6774.           "attributes": {
  6775.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  6776.           }
  6777.         },
  6778.         "CH0_FFS_TXFBFIFO_ERROR": {
  6779.           "hide_name": 0,
  6780.           "bits": [ 245 ],
  6781.           "attributes": {
  6782.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  6783.           }
  6784.         },
  6785.         "CH0_FF_EBRD_CLK": {
  6786.           "hide_name": 0,
  6787.           "bits": [ 16 ],
  6788.           "attributes": {
  6789.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  6790.           }
  6791.         },
  6792.         "CH0_FF_RXI_CLK": {
  6793.           "hide_name": 0,
  6794.           "bits": [ 12 ],
  6795.           "attributes": {
  6796.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  6797.           }
  6798.         },
  6799.         "CH0_FF_RX_D_0": {
  6800.           "hide_name": 0,
  6801.           "bits": [ 183 ],
  6802.           "attributes": {
  6803.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  6804.           }
  6805.         },
  6806.         "CH0_FF_RX_D_1": {
  6807.           "hide_name": 0,
  6808.           "bits": [ 185 ],
  6809.           "attributes": {
  6810.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  6811.           }
  6812.         },
  6813.         "CH0_FF_RX_D_10": {
  6814.           "hide_name": 0,
  6815.           "bits": [ 203 ],
  6816.           "attributes": {
  6817.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  6818.           }
  6819.         },
  6820.         "CH0_FF_RX_D_11": {
  6821.           "hide_name": 0,
  6822.           "bits": [ 205 ],
  6823.           "attributes": {
  6824.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  6825.           }
  6826.         },
  6827.         "CH0_FF_RX_D_12": {
  6828.           "hide_name": 0,
  6829.           "bits": [ 207 ],
  6830.           "attributes": {
  6831.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  6832.           }
  6833.         },
  6834.         "CH0_FF_RX_D_13": {
  6835.           "hide_name": 0,
  6836.           "bits": [ 209 ],
  6837.           "attributes": {
  6838.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  6839.           }
  6840.         },
  6841.         "CH0_FF_RX_D_14": {
  6842.           "hide_name": 0,
  6843.           "bits": [ 211 ],
  6844.           "attributes": {
  6845.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  6846.           }
  6847.         },
  6848.         "CH0_FF_RX_D_15": {
  6849.           "hide_name": 0,
  6850.           "bits": [ 213 ],
  6851.           "attributes": {
  6852.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  6853.           }
  6854.         },
  6855.         "CH0_FF_RX_D_16": {
  6856.           "hide_name": 0,
  6857.           "bits": [ 215 ],
  6858.           "attributes": {
  6859.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  6860.           }
  6861.         },
  6862.         "CH0_FF_RX_D_17": {
  6863.           "hide_name": 0,
  6864.           "bits": [ 217 ],
  6865.           "attributes": {
  6866.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  6867.           }
  6868.         },
  6869.         "CH0_FF_RX_D_18": {
  6870.           "hide_name": 0,
  6871.           "bits": [ 219 ],
  6872.           "attributes": {
  6873.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  6874.           }
  6875.         },
  6876.         "CH0_FF_RX_D_19": {
  6877.           "hide_name": 0,
  6878.           "bits": [ 221 ],
  6879.           "attributes": {
  6880.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  6881.           }
  6882.         },
  6883.         "CH0_FF_RX_D_2": {
  6884.           "hide_name": 0,
  6885.           "bits": [ 187 ],
  6886.           "attributes": {
  6887.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  6888.           }
  6889.         },
  6890.         "CH0_FF_RX_D_20": {
  6891.           "hide_name": 0,
  6892.           "bits": [ 223 ],
  6893.           "attributes": {
  6894.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  6895.           }
  6896.         },
  6897.         "CH0_FF_RX_D_21": {
  6898.           "hide_name": 0,
  6899.           "bits": [ 225 ],
  6900.           "attributes": {
  6901.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  6902.           }
  6903.         },
  6904.         "CH0_FF_RX_D_22": {
  6905.           "hide_name": 0,
  6906.           "bits": [ 227 ],
  6907.           "attributes": {
  6908.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  6909.           }
  6910.         },
  6911.         "CH0_FF_RX_D_23": {
  6912.           "hide_name": 0,
  6913.           "bits": [ 229 ],
  6914.           "attributes": {
  6915.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  6916.           }
  6917.         },
  6918.         "CH0_FF_RX_D_3": {
  6919.           "hide_name": 0,
  6920.           "bits": [ 189 ],
  6921.           "attributes": {
  6922.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  6923.           }
  6924.         },
  6925.         "CH0_FF_RX_D_4": {
  6926.           "hide_name": 0,
  6927.           "bits": [ 191 ],
  6928.           "attributes": {
  6929.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  6930.           }
  6931.         },
  6932.         "CH0_FF_RX_D_5": {
  6933.           "hide_name": 0,
  6934.           "bits": [ 193 ],
  6935.           "attributes": {
  6936.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  6937.           }
  6938.         },
  6939.         "CH0_FF_RX_D_6": {
  6940.           "hide_name": 0,
  6941.           "bits": [ 195 ],
  6942.           "attributes": {
  6943.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  6944.           }
  6945.         },
  6946.         "CH0_FF_RX_D_7": {
  6947.           "hide_name": 0,
  6948.           "bits": [ 197 ],
  6949.           "attributes": {
  6950.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  6951.           }
  6952.         },
  6953.         "CH0_FF_RX_D_8": {
  6954.           "hide_name": 0,
  6955.           "bits": [ 199 ],
  6956.           "attributes": {
  6957.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  6958.           }
  6959.         },
  6960.         "CH0_FF_RX_D_9": {
  6961.           "hide_name": 0,
  6962.           "bits": [ 201 ],
  6963.           "attributes": {
  6964.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  6965.           }
  6966.         },
  6967.         "CH0_FF_RX_F_CLK": {
  6968.           "hide_name": 0,
  6969.           "bits": [ 171 ],
  6970.           "attributes": {
  6971.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  6972.           }
  6973.         },
  6974.         "CH0_FF_RX_H_CLK": {
  6975.           "hide_name": 0,
  6976.           "bits": [ 173 ],
  6977.           "attributes": {
  6978.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  6979.           }
  6980.         },
  6981.         "CH0_FF_RX_PCLK": {
  6982.           "hide_name": 0,
  6983.           "bits": [ 179 ],
  6984.           "attributes": {
  6985.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  6986.           }
  6987.         },
  6988.         "CH0_FF_TXI_CLK": {
  6989.           "hide_name": 0,
  6990.           "bits": [ 14 ],
  6991.           "attributes": {
  6992.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  6993.           }
  6994.         },
  6995.         "CH0_FF_TX_D_0": {
  6996.           "hide_name": 0,
  6997.           "bits": [ 18 ],
  6998.           "attributes": {
  6999.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7000.           }
  7001.         },
  7002.         "CH0_FF_TX_D_1": {
  7003.           "hide_name": 0,
  7004.           "bits": [ 20 ],
  7005.           "attributes": {
  7006.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7007.           }
  7008.         },
  7009.         "CH0_FF_TX_D_10": {
  7010.           "hide_name": 0,
  7011.           "bits": [ 38 ],
  7012.           "attributes": {
  7013.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7014.           }
  7015.         },
  7016.         "CH0_FF_TX_D_11": {
  7017.           "hide_name": 0,
  7018.           "bits": [ 40 ],
  7019.           "attributes": {
  7020.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7021.           }
  7022.         },
  7023.         "CH0_FF_TX_D_12": {
  7024.           "hide_name": 0,
  7025.           "bits": [ 42 ],
  7026.           "attributes": {
  7027.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7028.           }
  7029.         },
  7030.         "CH0_FF_TX_D_13": {
  7031.           "hide_name": 0,
  7032.           "bits": [ 44 ],
  7033.           "attributes": {
  7034.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7035.           }
  7036.         },
  7037.         "CH0_FF_TX_D_14": {
  7038.           "hide_name": 0,
  7039.           "bits": [ 46 ],
  7040.           "attributes": {
  7041.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7042.           }
  7043.         },
  7044.         "CH0_FF_TX_D_15": {
  7045.           "hide_name": 0,
  7046.           "bits": [ 48 ],
  7047.           "attributes": {
  7048.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7049.           }
  7050.         },
  7051.         "CH0_FF_TX_D_16": {
  7052.           "hide_name": 0,
  7053.           "bits": [ 50 ],
  7054.           "attributes": {
  7055.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7056.           }
  7057.         },
  7058.         "CH0_FF_TX_D_17": {
  7059.           "hide_name": 0,
  7060.           "bits": [ 52 ],
  7061.           "attributes": {
  7062.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7063.           }
  7064.         },
  7065.         "CH0_FF_TX_D_18": {
  7066.           "hide_name": 0,
  7067.           "bits": [ 54 ],
  7068.           "attributes": {
  7069.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7070.           }
  7071.         },
  7072.         "CH0_FF_TX_D_19": {
  7073.           "hide_name": 0,
  7074.           "bits": [ 56 ],
  7075.           "attributes": {
  7076.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7077.           }
  7078.         },
  7079.         "CH0_FF_TX_D_2": {
  7080.           "hide_name": 0,
  7081.           "bits": [ 22 ],
  7082.           "attributes": {
  7083.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7084.           }
  7085.         },
  7086.         "CH0_FF_TX_D_20": {
  7087.           "hide_name": 0,
  7088.           "bits": [ 58 ],
  7089.           "attributes": {
  7090.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7091.           }
  7092.         },
  7093.         "CH0_FF_TX_D_21": {
  7094.           "hide_name": 0,
  7095.           "bits": [ 60 ],
  7096.           "attributes": {
  7097.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7098.           }
  7099.         },
  7100.         "CH0_FF_TX_D_22": {
  7101.           "hide_name": 0,
  7102.           "bits": [ 62 ],
  7103.           "attributes": {
  7104.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7105.           }
  7106.         },
  7107.         "CH0_FF_TX_D_23": {
  7108.           "hide_name": 0,
  7109.           "bits": [ 64 ],
  7110.           "attributes": {
  7111.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7112.           }
  7113.         },
  7114.         "CH0_FF_TX_D_3": {
  7115.           "hide_name": 0,
  7116.           "bits": [ 24 ],
  7117.           "attributes": {
  7118.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7119.           }
  7120.         },
  7121.         "CH0_FF_TX_D_4": {
  7122.           "hide_name": 0,
  7123.           "bits": [ 26 ],
  7124.           "attributes": {
  7125.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7126.           }
  7127.         },
  7128.         "CH0_FF_TX_D_5": {
  7129.           "hide_name": 0,
  7130.           "bits": [ 28 ],
  7131.           "attributes": {
  7132.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7133.           }
  7134.         },
  7135.         "CH0_FF_TX_D_6": {
  7136.           "hide_name": 0,
  7137.           "bits": [ 30 ],
  7138.           "attributes": {
  7139.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7140.           }
  7141.         },
  7142.         "CH0_FF_TX_D_7": {
  7143.           "hide_name": 0,
  7144.           "bits": [ 32 ],
  7145.           "attributes": {
  7146.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7147.           }
  7148.         },
  7149.         "CH0_FF_TX_D_8": {
  7150.           "hide_name": 0,
  7151.           "bits": [ 34 ],
  7152.           "attributes": {
  7153.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7154.           }
  7155.         },
  7156.         "CH0_FF_TX_D_9": {
  7157.           "hide_name": 0,
  7158.           "bits": [ 36 ],
  7159.           "attributes": {
  7160.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7161.           }
  7162.         },
  7163.         "CH0_FF_TX_F_CLK": {
  7164.           "hide_name": 0,
  7165.           "bits": [ 175 ],
  7166.           "attributes": {
  7167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7168.           }
  7169.         },
  7170.         "CH0_FF_TX_H_CLK": {
  7171.           "hide_name": 0,
  7172.           "bits": [ 177 ],
  7173.           "attributes": {
  7174.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7175.           }
  7176.         },
  7177.         "CH0_FF_TX_PCLK": {
  7178.           "hide_name": 0,
  7179.           "bits": [ 181 ],
  7180.           "attributes": {
  7181.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  7182.           }
  7183.         },
  7184.         "CH0_HDINN": {
  7185.           "hide_name": 0,
  7186.           "bits": [ 4 ],
  7187.           "attributes": {
  7188.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345"
  7189.           }
  7190.         },
  7191.         "CH0_HDINP": {
  7192.           "hide_name": 0,
  7193.           "bits": [ 2 ],
  7194.           "attributes": {
  7195.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345"
  7196.           }
  7197.         },
  7198.         "CH0_HDOUTN": {
  7199.           "hide_name": 0,
  7200.           "bits": [ 165 ],
  7201.           "attributes": {
  7202.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  7203.           }
  7204.         },
  7205.         "CH0_HDOUTP": {
  7206.           "hide_name": 0,
  7207.           "bits": [ 163 ],
  7208.           "attributes": {
  7209.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  7210.           }
  7211.         },
  7212.         "CH0_LDR_CORE2TX": {
  7213.           "hide_name": 0,
  7214.           "bits": [ 108 ],
  7215.           "attributes": {
  7216.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  7217.           }
  7218.         },
  7219.         "CH0_LDR_RX2CORE": {
  7220.           "hide_name": 0,
  7221.           "bits": [ 253 ],
  7222.           "attributes": {
  7223.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  7224.           }
  7225.         },
  7226.         "CH0_RX_REFCLK": {
  7227.           "hide_name": 0,
  7228.           "bits": [ 10 ],
  7229.           "attributes": {
  7230.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  7231.           }
  7232.         },
  7233.         "CH0_SCIEN": {
  7234.           "hide_name": 0,
  7235.           "bits": [ 126 ],
  7236.           "attributes": {
  7237.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  7238.           }
  7239.         },
  7240.         "CH0_SCISEL": {
  7241.           "hide_name": 0,
  7242.           "bits": [ 128 ],
  7243.           "attributes": {
  7244.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  7245.           }
  7246.         },
  7247.         "CH1_FFC_CDR_EN_BITSLIP": {
  7248.           "hide_name": 0,
  7249.           "bits": [ 139 ],
  7250.           "attributes": {
  7251.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  7252.           }
  7253.         },
  7254.         "CH1_FFC_DIV11_MODE_RX": {
  7255.           "hide_name": 0,
  7256.           "bits": [ 89 ],
  7257.           "attributes": {
  7258.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7259.           }
  7260.         },
  7261.         "CH1_FFC_DIV11_MODE_TX": {
  7262.           "hide_name": 0,
  7263.           "bits": [ 95 ],
  7264.           "attributes": {
  7265.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  7266.           }
  7267.         },
  7268.         "CH1_FFC_EI_EN": {
  7269.           "hide_name": 0,
  7270.           "bits": [ 67 ],
  7271.           "attributes": {
  7272.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  7273.           }
  7274.         },
  7275.         "CH1_FFC_ENABLE_CGALIGN": {
  7276.           "hide_name": 0,
  7277.           "bits": [ 75 ],
  7278.           "attributes": {
  7279.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  7280.           }
  7281.         },
  7282.         "CH1_FFC_FB_LOOPBACK": {
  7283.           "hide_name": 0,
  7284.           "bits": [ 79 ],
  7285.           "attributes": {
  7286.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  7287.           }
  7288.         },
  7289.         "CH1_FFC_LANE_RX_RST": {
  7290.           "hide_name": 0,
  7291.           "bits": [ 101 ],
  7292.           "attributes": {
  7293.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  7294.           }
  7295.         },
  7296.         "CH1_FFC_LANE_TX_RST": {
  7297.           "hide_name": 0,
  7298.           "bits": [ 99 ],
  7299.           "attributes": {
  7300.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  7301.           }
  7302.         },
  7303.         "CH1_FFC_LDR_CORE2TX_EN": {
  7304.           "hide_name": 0,
  7305.           "bits": [ 97 ],
  7306.           "attributes": {
  7307.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:357"
  7308.           }
  7309.         },
  7310.         "CH1_FFC_PCIE_CT": {
  7311.           "hide_name": 0,
  7312.           "bits": [ 71 ],
  7313.           "attributes": {
  7314.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  7315.           }
  7316.         },
  7317.         "CH1_FFC_PCIE_DET_EN": {
  7318.           "hide_name": 0,
  7319.           "bits": [ 69 ],
  7320.           "attributes": {
  7321.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  7322.           }
  7323.         },
  7324.         "CH1_FFC_PFIFO_CLR": {
  7325.           "hide_name": 0,
  7326.           "bits": [ 83 ],
  7327.           "attributes": {
  7328.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7329.           }
  7330.         },
  7331.         "CH1_FFC_RATE_MODE_RX": {
  7332.           "hide_name": 0,
  7333.           "bits": [ 85 ],
  7334.           "attributes": {
  7335.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7336.           }
  7337.         },
  7338.         "CH1_FFC_RATE_MODE_TX": {
  7339.           "hide_name": 0,
  7340.           "bits": [ 87 ],
  7341.           "attributes": {
  7342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7343.           }
  7344.         },
  7345.         "CH1_FFC_RRST": {
  7346.           "hide_name": 0,
  7347.           "bits": [ 103 ],
  7348.           "attributes": {
  7349.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  7350.           }
  7351.         },
  7352.         "CH1_FFC_RXPWDNB": {
  7353.           "hide_name": 0,
  7354.           "bits": [ 107 ],
  7355.           "attributes": {
  7356.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  7357.           }
  7358.         },
  7359.         "CH1_FFC_RX_GEAR_MODE": {
  7360.           "hide_name": 0,
  7361.           "bits": [ 91 ],
  7362.           "attributes": {
  7363.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7364.           }
  7365.         },
  7366.         "CH1_FFC_SB_INV_RX": {
  7367.           "hide_name": 0,
  7368.           "bits": [ 73 ],
  7369.           "attributes": {
  7370.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:354"
  7371.           }
  7372.         },
  7373.         "CH1_FFC_SB_PFIFO_LP": {
  7374.           "hide_name": 0,
  7375.           "bits": [ 81 ],
  7376.           "attributes": {
  7377.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  7378.           }
  7379.         },
  7380.         "CH1_FFC_SIGNAL_DETECT": {
  7381.           "hide_name": 0,
  7382.           "bits": [ 77 ],
  7383.           "attributes": {
  7384.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:355"
  7385.           }
  7386.         },
  7387.         "CH1_FFC_TXPWDNB": {
  7388.           "hide_name": 0,
  7389.           "bits": [ 105 ],
  7390.           "attributes": {
  7391.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  7392.           }
  7393.         },
  7394.         "CH1_FFC_TX_GEAR_MODE": {
  7395.           "hide_name": 0,
  7396.           "bits": [ 93 ],
  7397.           "attributes": {
  7398.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:356"
  7399.           }
  7400.         },
  7401.         "CH1_FFS_CC_OVERRUN": {
  7402.           "hide_name": 0,
  7403.           "bits": [ 242 ],
  7404.           "attributes": {
  7405.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  7406.           }
  7407.         },
  7408.         "CH1_FFS_CC_UNDERRUN": {
  7409.           "hide_name": 0,
  7410.           "bits": [ 240 ],
  7411.           "attributes": {
  7412.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  7413.           }
  7414.         },
  7415.         "CH1_FFS_LS_SYNC_STATUS": {
  7416.           "hide_name": 0,
  7417.           "bits": [ 238 ],
  7418.           "attributes": {
  7419.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  7420.           }
  7421.         },
  7422.         "CH1_FFS_PCIE_CON": {
  7423.           "hide_name": 0,
  7424.           "bits": [ 234 ],
  7425.           "attributes": {
  7426.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  7427.           }
  7428.         },
  7429.         "CH1_FFS_PCIE_DONE": {
  7430.           "hide_name": 0,
  7431.           "bits": [ 232 ],
  7432.           "attributes": {
  7433.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  7434.           }
  7435.         },
  7436.         "CH1_FFS_RLOL": {
  7437.           "hide_name": 0,
  7438.           "bits": [ 248 ],
  7439.           "attributes": {
  7440.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  7441.           }
  7442.         },
  7443.         "CH1_FFS_RLOS": {
  7444.           "hide_name": 0,
  7445.           "bits": [ 236 ],
  7446.           "attributes": {
  7447.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:374"
  7448.           }
  7449.         },
  7450.         "CH1_FFS_RXFBFIFO_ERROR": {
  7451.           "hide_name": 0,
  7452.           "bits": [ 244 ],
  7453.           "attributes": {
  7454.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  7455.           }
  7456.         },
  7457.         "CH1_FFS_SKP_ADDED": {
  7458.           "hide_name": 0,
  7459.           "bits": [ 250 ],
  7460.           "attributes": {
  7461.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  7462.           }
  7463.         },
  7464.         "CH1_FFS_SKP_DELETED": {
  7465.           "hide_name": 0,
  7466.           "bits": [ 252 ],
  7467.           "attributes": {
  7468.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  7469.           }
  7470.         },
  7471.         "CH1_FFS_TXFBFIFO_ERROR": {
  7472.           "hide_name": 0,
  7473.           "bits": [ 246 ],
  7474.           "attributes": {
  7475.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:375"
  7476.           }
  7477.         },
  7478.         "CH1_FF_EBRD_CLK": {
  7479.           "hide_name": 0,
  7480.           "bits": [ 17 ],
  7481.           "attributes": {
  7482.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  7483.           }
  7484.         },
  7485.         "CH1_FF_RXI_CLK": {
  7486.           "hide_name": 0,
  7487.           "bits": [ 13 ],
  7488.           "attributes": {
  7489.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  7490.           }
  7491.         },
  7492.         "CH1_FF_RX_D_0": {
  7493.           "hide_name": 0,
  7494.           "bits": [ 184 ],
  7495.           "attributes": {
  7496.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  7497.           }
  7498.         },
  7499.         "CH1_FF_RX_D_1": {
  7500.           "hide_name": 0,
  7501.           "bits": [ 186 ],
  7502.           "attributes": {
  7503.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  7504.           }
  7505.         },
  7506.         "CH1_FF_RX_D_10": {
  7507.           "hide_name": 0,
  7508.           "bits": [ 204 ],
  7509.           "attributes": {
  7510.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  7511.           }
  7512.         },
  7513.         "CH1_FF_RX_D_11": {
  7514.           "hide_name": 0,
  7515.           "bits": [ 206 ],
  7516.           "attributes": {
  7517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  7518.           }
  7519.         },
  7520.         "CH1_FF_RX_D_12": {
  7521.           "hide_name": 0,
  7522.           "bits": [ 208 ],
  7523.           "attributes": {
  7524.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  7525.           }
  7526.         },
  7527.         "CH1_FF_RX_D_13": {
  7528.           "hide_name": 0,
  7529.           "bits": [ 210 ],
  7530.           "attributes": {
  7531.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:370"
  7532.           }
  7533.         },
  7534.         "CH1_FF_RX_D_14": {
  7535.           "hide_name": 0,
  7536.           "bits": [ 212 ],
  7537.           "attributes": {
  7538.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  7539.           }
  7540.         },
  7541.         "CH1_FF_RX_D_15": {
  7542.           "hide_name": 0,
  7543.           "bits": [ 214 ],
  7544.           "attributes": {
  7545.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  7546.           }
  7547.         },
  7548.         "CH1_FF_RX_D_16": {
  7549.           "hide_name": 0,
  7550.           "bits": [ 216 ],
  7551.           "attributes": {
  7552.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  7553.           }
  7554.         },
  7555.         "CH1_FF_RX_D_17": {
  7556.           "hide_name": 0,
  7557.           "bits": [ 218 ],
  7558.           "attributes": {
  7559.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:371"
  7560.           }
  7561.         },
  7562.         "CH1_FF_RX_D_18": {
  7563.           "hide_name": 0,
  7564.           "bits": [ 220 ],
  7565.           "attributes": {
  7566.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  7567.           }
  7568.         },
  7569.         "CH1_FF_RX_D_19": {
  7570.           "hide_name": 0,
  7571.           "bits": [ 222 ],
  7572.           "attributes": {
  7573.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  7574.           }
  7575.         },
  7576.         "CH1_FF_RX_D_2": {
  7577.           "hide_name": 0,
  7578.           "bits": [ 188 ],
  7579.           "attributes": {
  7580.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  7581.           }
  7582.         },
  7583.         "CH1_FF_RX_D_20": {
  7584.           "hide_name": 0,
  7585.           "bits": [ 224 ],
  7586.           "attributes": {
  7587.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  7588.           }
  7589.         },
  7590.         "CH1_FF_RX_D_21": {
  7591.           "hide_name": 0,
  7592.           "bits": [ 226 ],
  7593.           "attributes": {
  7594.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:372"
  7595.           }
  7596.         },
  7597.         "CH1_FF_RX_D_22": {
  7598.           "hide_name": 0,
  7599.           "bits": [ 228 ],
  7600.           "attributes": {
  7601.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  7602.           }
  7603.         },
  7604.         "CH1_FF_RX_D_23": {
  7605.           "hide_name": 0,
  7606.           "bits": [ 230 ],
  7607.           "attributes": {
  7608.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:373"
  7609.           }
  7610.         },
  7611.         "CH1_FF_RX_D_3": {
  7612.           "hide_name": 0,
  7613.           "bits": [ 190 ],
  7614.           "attributes": {
  7615.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  7616.           }
  7617.         },
  7618.         "CH1_FF_RX_D_4": {
  7619.           "hide_name": 0,
  7620.           "bits": [ 192 ],
  7621.           "attributes": {
  7622.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  7623.           }
  7624.         },
  7625.         "CH1_FF_RX_D_5": {
  7626.           "hide_name": 0,
  7627.           "bits": [ 194 ],
  7628.           "attributes": {
  7629.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:368"
  7630.           }
  7631.         },
  7632.         "CH1_FF_RX_D_6": {
  7633.           "hide_name": 0,
  7634.           "bits": [ 196 ],
  7635.           "attributes": {
  7636.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  7637.           }
  7638.         },
  7639.         "CH1_FF_RX_D_7": {
  7640.           "hide_name": 0,
  7641.           "bits": [ 198 ],
  7642.           "attributes": {
  7643.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  7644.           }
  7645.         },
  7646.         "CH1_FF_RX_D_8": {
  7647.           "hide_name": 0,
  7648.           "bits": [ 200 ],
  7649.           "attributes": {
  7650.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  7651.           }
  7652.         },
  7653.         "CH1_FF_RX_D_9": {
  7654.           "hide_name": 0,
  7655.           "bits": [ 202 ],
  7656.           "attributes": {
  7657.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:369"
  7658.           }
  7659.         },
  7660.         "CH1_FF_RX_F_CLK": {
  7661.           "hide_name": 0,
  7662.           "bits": [ 172 ],
  7663.           "attributes": {
  7664.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7665.           }
  7666.         },
  7667.         "CH1_FF_RX_H_CLK": {
  7668.           "hide_name": 0,
  7669.           "bits": [ 174 ],
  7670.           "attributes": {
  7671.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7672.           }
  7673.         },
  7674.         "CH1_FF_RX_PCLK": {
  7675.           "hide_name": 0,
  7676.           "bits": [ 180 ],
  7677.           "attributes": {
  7678.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  7679.           }
  7680.         },
  7681.         "CH1_FF_TXI_CLK": {
  7682.           "hide_name": 0,
  7683.           "bits": [ 15 ],
  7684.           "attributes": {
  7685.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  7686.           }
  7687.         },
  7688.         "CH1_FF_TX_D_0": {
  7689.           "hide_name": 0,
  7690.           "bits": [ 19 ],
  7691.           "attributes": {
  7692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7693.           }
  7694.         },
  7695.         "CH1_FF_TX_D_1": {
  7696.           "hide_name": 0,
  7697.           "bits": [ 21 ],
  7698.           "attributes": {
  7699.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7700.           }
  7701.         },
  7702.         "CH1_FF_TX_D_10": {
  7703.           "hide_name": 0,
  7704.           "bits": [ 39 ],
  7705.           "attributes": {
  7706.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7707.           }
  7708.         },
  7709.         "CH1_FF_TX_D_11": {
  7710.           "hide_name": 0,
  7711.           "bits": [ 41 ],
  7712.           "attributes": {
  7713.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7714.           }
  7715.         },
  7716.         "CH1_FF_TX_D_12": {
  7717.           "hide_name": 0,
  7718.           "bits": [ 43 ],
  7719.           "attributes": {
  7720.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7721.           }
  7722.         },
  7723.         "CH1_FF_TX_D_13": {
  7724.           "hide_name": 0,
  7725.           "bits": [ 45 ],
  7726.           "attributes": {
  7727.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7728.           }
  7729.         },
  7730.         "CH1_FF_TX_D_14": {
  7731.           "hide_name": 0,
  7732.           "bits": [ 47 ],
  7733.           "attributes": {
  7734.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7735.           }
  7736.         },
  7737.         "CH1_FF_TX_D_15": {
  7738.           "hide_name": 0,
  7739.           "bits": [ 49 ],
  7740.           "attributes": {
  7741.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:351"
  7742.           }
  7743.         },
  7744.         "CH1_FF_TX_D_16": {
  7745.           "hide_name": 0,
  7746.           "bits": [ 51 ],
  7747.           "attributes": {
  7748.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7749.           }
  7750.         },
  7751.         "CH1_FF_TX_D_17": {
  7752.           "hide_name": 0,
  7753.           "bits": [ 53 ],
  7754.           "attributes": {
  7755.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7756.           }
  7757.         },
  7758.         "CH1_FF_TX_D_18": {
  7759.           "hide_name": 0,
  7760.           "bits": [ 55 ],
  7761.           "attributes": {
  7762.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7763.           }
  7764.         },
  7765.         "CH1_FF_TX_D_19": {
  7766.           "hide_name": 0,
  7767.           "bits": [ 57 ],
  7768.           "attributes": {
  7769.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:352"
  7770.           }
  7771.         },
  7772.         "CH1_FF_TX_D_2": {
  7773.           "hide_name": 0,
  7774.           "bits": [ 23 ],
  7775.           "attributes": {
  7776.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7777.           }
  7778.         },
  7779.         "CH1_FF_TX_D_20": {
  7780.           "hide_name": 0,
  7781.           "bits": [ 59 ],
  7782.           "attributes": {
  7783.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7784.           }
  7785.         },
  7786.         "CH1_FF_TX_D_21": {
  7787.           "hide_name": 0,
  7788.           "bits": [ 61 ],
  7789.           "attributes": {
  7790.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7791.           }
  7792.         },
  7793.         "CH1_FF_TX_D_22": {
  7794.           "hide_name": 0,
  7795.           "bits": [ 63 ],
  7796.           "attributes": {
  7797.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7798.           }
  7799.         },
  7800.         "CH1_FF_TX_D_23": {
  7801.           "hide_name": 0,
  7802.           "bits": [ 65 ],
  7803.           "attributes": {
  7804.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:353"
  7805.           }
  7806.         },
  7807.         "CH1_FF_TX_D_3": {
  7808.           "hide_name": 0,
  7809.           "bits": [ 25 ],
  7810.           "attributes": {
  7811.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:348"
  7812.           }
  7813.         },
  7814.         "CH1_FF_TX_D_4": {
  7815.           "hide_name": 0,
  7816.           "bits": [ 27 ],
  7817.           "attributes": {
  7818.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7819.           }
  7820.         },
  7821.         "CH1_FF_TX_D_5": {
  7822.           "hide_name": 0,
  7823.           "bits": [ 29 ],
  7824.           "attributes": {
  7825.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7826.           }
  7827.         },
  7828.         "CH1_FF_TX_D_6": {
  7829.           "hide_name": 0,
  7830.           "bits": [ 31 ],
  7831.           "attributes": {
  7832.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7833.           }
  7834.         },
  7835.         "CH1_FF_TX_D_7": {
  7836.           "hide_name": 0,
  7837.           "bits": [ 33 ],
  7838.           "attributes": {
  7839.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:349"
  7840.           }
  7841.         },
  7842.         "CH1_FF_TX_D_8": {
  7843.           "hide_name": 0,
  7844.           "bits": [ 35 ],
  7845.           "attributes": {
  7846.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7847.           }
  7848.         },
  7849.         "CH1_FF_TX_D_9": {
  7850.           "hide_name": 0,
  7851.           "bits": [ 37 ],
  7852.           "attributes": {
  7853.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:350"
  7854.           }
  7855.         },
  7856.         "CH1_FF_TX_F_CLK": {
  7857.           "hide_name": 0,
  7858.           "bits": [ 176 ],
  7859.           "attributes": {
  7860.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7861.           }
  7862.         },
  7863.         "CH1_FF_TX_H_CLK": {
  7864.           "hide_name": 0,
  7865.           "bits": [ 178 ],
  7866.           "attributes": {
  7867.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:366"
  7868.           }
  7869.         },
  7870.         "CH1_FF_TX_PCLK": {
  7871.           "hide_name": 0,
  7872.           "bits": [ 182 ],
  7873.           "attributes": {
  7874.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:367"
  7875.           }
  7876.         },
  7877.         "CH1_HDINN": {
  7878.           "hide_name": 0,
  7879.           "bits": [ 5 ],
  7880.           "attributes": {
  7881.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345"
  7882.           }
  7883.         },
  7884.         "CH1_HDINP": {
  7885.           "hide_name": 0,
  7886.           "bits": [ 3 ],
  7887.           "attributes": {
  7888.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:345"
  7889.           }
  7890.         },
  7891.         "CH1_HDOUTN": {
  7892.           "hide_name": 0,
  7893.           "bits": [ 166 ],
  7894.           "attributes": {
  7895.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  7896.           }
  7897.         },
  7898.         "CH1_HDOUTP": {
  7899.           "hide_name": 0,
  7900.           "bits": [ 164 ],
  7901.           "attributes": {
  7902.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  7903.           }
  7904.         },
  7905.         "CH1_LDR_CORE2TX": {
  7906.           "hide_name": 0,
  7907.           "bits": [ 109 ],
  7908.           "attributes": {
  7909.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:358"
  7910.           }
  7911.         },
  7912.         "CH1_LDR_RX2CORE": {
  7913.           "hide_name": 0,
  7914.           "bits": [ 254 ],
  7915.           "attributes": {
  7916.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  7917.           }
  7918.         },
  7919.         "CH1_RX_REFCLK": {
  7920.           "hide_name": 0,
  7921.           "bits": [ 11 ],
  7922.           "attributes": {
  7923.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:347"
  7924.           }
  7925.         },
  7926.         "CH1_SCIEN": {
  7927.           "hide_name": 0,
  7928.           "bits": [ 127 ],
  7929.           "attributes": {
  7930.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  7931.           }
  7932.         },
  7933.         "CH1_SCISEL": {
  7934.           "hide_name": 0,
  7935.           "bits": [ 129 ],
  7936.           "attributes": {
  7937.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  7938.           }
  7939.         },
  7940.         "D_CIN0": {
  7941.           "hide_name": 0,
  7942.           "bits": [ 151 ],
  7943.           "attributes": {
  7944.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7945.           }
  7946.         },
  7947.         "D_CIN1": {
  7948.           "hide_name": 0,
  7949.           "bits": [ 152 ],
  7950.           "attributes": {
  7951.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7952.           }
  7953.         },
  7954.         "D_CIN10": {
  7955.           "hide_name": 0,
  7956.           "bits": [ 161 ],
  7957.           "attributes": {
  7958.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7959.           }
  7960.         },
  7961.         "D_CIN11": {
  7962.           "hide_name": 0,
  7963.           "bits": [ 162 ],
  7964.           "attributes": {
  7965.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7966.           }
  7967.         },
  7968.         "D_CIN2": {
  7969.           "hide_name": 0,
  7970.           "bits": [ 153 ],
  7971.           "attributes": {
  7972.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7973.           }
  7974.         },
  7975.         "D_CIN3": {
  7976.           "hide_name": 0,
  7977.           "bits": [ 154 ],
  7978.           "attributes": {
  7979.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7980.           }
  7981.         },
  7982.         "D_CIN4": {
  7983.           "hide_name": 0,
  7984.           "bits": [ 155 ],
  7985.           "attributes": {
  7986.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7987.           }
  7988.         },
  7989.         "D_CIN5": {
  7990.           "hide_name": 0,
  7991.           "bits": [ 156 ],
  7992.           "attributes": {
  7993.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  7994.           }
  7995.         },
  7996.         "D_CIN6": {
  7997.           "hide_name": 0,
  7998.           "bits": [ 157 ],
  7999.           "attributes": {
  8000.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  8001.           }
  8002.         },
  8003.         "D_CIN7": {
  8004.           "hide_name": 0,
  8005.           "bits": [ 158 ],
  8006.           "attributes": {
  8007.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  8008.           }
  8009.         },
  8010.         "D_CIN8": {
  8011.           "hide_name": 0,
  8012.           "bits": [ 159 ],
  8013.           "attributes": {
  8014.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  8015.           }
  8016.         },
  8017.         "D_CIN9": {
  8018.           "hide_name": 0,
  8019.           "bits": [ 160 ],
  8020.           "attributes": {
  8021.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  8022.           }
  8023.         },
  8024.         "D_COUT0": {
  8025.           "hide_name": 0,
  8026.           "bits": [ 272 ],
  8027.           "attributes": {
  8028.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8029.           }
  8030.         },
  8031.         "D_COUT1": {
  8032.           "hide_name": 0,
  8033.           "bits": [ 273 ],
  8034.           "attributes": {
  8035.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8036.           }
  8037.         },
  8038.         "D_COUT10": {
  8039.           "hide_name": 0,
  8040.           "bits": [ 282 ],
  8041.           "attributes": {
  8042.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8043.           }
  8044.         },
  8045.         "D_COUT11": {
  8046.           "hide_name": 0,
  8047.           "bits": [ 283 ],
  8048.           "attributes": {
  8049.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8050.           }
  8051.         },
  8052.         "D_COUT12": {
  8053.           "hide_name": 0,
  8054.           "bits": [ 284 ],
  8055.           "attributes": {
  8056.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8057.           }
  8058.         },
  8059.         "D_COUT13": {
  8060.           "hide_name": 0,
  8061.           "bits": [ 285 ],
  8062.           "attributes": {
  8063.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8064.           }
  8065.         },
  8066.         "D_COUT14": {
  8067.           "hide_name": 0,
  8068.           "bits": [ 286 ],
  8069.           "attributes": {
  8070.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8071.           }
  8072.         },
  8073.         "D_COUT15": {
  8074.           "hide_name": 0,
  8075.           "bits": [ 287 ],
  8076.           "attributes": {
  8077.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8078.           }
  8079.         },
  8080.         "D_COUT16": {
  8081.           "hide_name": 0,
  8082.           "bits": [ 288 ],
  8083.           "attributes": {
  8084.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8085.           }
  8086.         },
  8087.         "D_COUT17": {
  8088.           "hide_name": 0,
  8089.           "bits": [ 289 ],
  8090.           "attributes": {
  8091.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8092.           }
  8093.         },
  8094.         "D_COUT18": {
  8095.           "hide_name": 0,
  8096.           "bits": [ 290 ],
  8097.           "attributes": {
  8098.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8099.           }
  8100.         },
  8101.         "D_COUT19": {
  8102.           "hide_name": 0,
  8103.           "bits": [ 291 ],
  8104.           "attributes": {
  8105.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8106.           }
  8107.         },
  8108.         "D_COUT2": {
  8109.           "hide_name": 0,
  8110.           "bits": [ 274 ],
  8111.           "attributes": {
  8112.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8113.           }
  8114.         },
  8115.         "D_COUT3": {
  8116.           "hide_name": 0,
  8117.           "bits": [ 275 ],
  8118.           "attributes": {
  8119.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8120.           }
  8121.         },
  8122.         "D_COUT4": {
  8123.           "hide_name": 0,
  8124.           "bits": [ 276 ],
  8125.           "attributes": {
  8126.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8127.           }
  8128.         },
  8129.         "D_COUT5": {
  8130.           "hide_name": 0,
  8131.           "bits": [ 277 ],
  8132.           "attributes": {
  8133.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8134.           }
  8135.         },
  8136.         "D_COUT6": {
  8137.           "hide_name": 0,
  8138.           "bits": [ 278 ],
  8139.           "attributes": {
  8140.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8141.           }
  8142.         },
  8143.         "D_COUT7": {
  8144.           "hide_name": 0,
  8145.           "bits": [ 279 ],
  8146.           "attributes": {
  8147.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8148.           }
  8149.         },
  8150.         "D_COUT8": {
  8151.           "hide_name": 0,
  8152.           "bits": [ 280 ],
  8153.           "attributes": {
  8154.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8155.           }
  8156.         },
  8157.         "D_COUT9": {
  8158.           "hide_name": 0,
  8159.           "bits": [ 281 ],
  8160.           "attributes": {
  8161.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:378"
  8162.           }
  8163.         },
  8164.         "D_CYAWSTN": {
  8165.           "hide_name": 0,
  8166.           "bits": [ 132 ],
  8167.           "attributes": {
  8168.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  8169.           }
  8170.         },
  8171.         "D_FFC_DUAL_RST": {
  8172.           "hide_name": 0,
  8173.           "bits": [ 134 ],
  8174.           "attributes": {
  8175.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8176.           }
  8177.         },
  8178.         "D_FFC_MACROPDB": {
  8179.           "hide_name": 0,
  8180.           "bits": [ 136 ],
  8181.           "attributes": {
  8182.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8183.           }
  8184.         },
  8185.         "D_FFC_MACRO_RST": {
  8186.           "hide_name": 0,
  8187.           "bits": [ 135 ],
  8188.           "attributes": {
  8189.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8190.           }
  8191.         },
  8192.         "D_FFC_SYNC_TOGGLE": {
  8193.           "hide_name": 0,
  8194.           "bits": [ 133 ],
  8195.           "attributes": {
  8196.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  8197.           }
  8198.         },
  8199.         "D_FFC_TRST": {
  8200.           "hide_name": 0,
  8201.           "bits": [ 137 ],
  8202.           "attributes": {
  8203.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8204.           }
  8205.         },
  8206.         "D_FFS_PLOL": {
  8207.           "hide_name": 0,
  8208.           "bits": [ 293 ],
  8209.           "attributes": {
  8210.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:381"
  8211.           }
  8212.         },
  8213.         "D_REFCLKI": {
  8214.           "hide_name": 0,
  8215.           "bits": [ 292 ],
  8216.           "attributes": {
  8217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:380"
  8218.           }
  8219.         },
  8220.         "D_SCAN_ENABLE": {
  8221.           "hide_name": 0,
  8222.           "bits": [ 140 ],
  8223.           "attributes": {
  8224.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8225.           }
  8226.         },
  8227.         "D_SCAN_IN_0": {
  8228.           "hide_name": 0,
  8229.           "bits": [ 141 ],
  8230.           "attributes": {
  8231.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:362"
  8232.           }
  8233.         },
  8234.         "D_SCAN_IN_1": {
  8235.           "hide_name": 0,
  8236.           "bits": [ 142 ],
  8237.           "attributes": {
  8238.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8239.           }
  8240.         },
  8241.         "D_SCAN_IN_2": {
  8242.           "hide_name": 0,
  8243.           "bits": [ 143 ],
  8244.           "attributes": {
  8245.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8246.           }
  8247.         },
  8248.         "D_SCAN_IN_3": {
  8249.           "hide_name": 0,
  8250.           "bits": [ 144 ],
  8251.           "attributes": {
  8252.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8253.           }
  8254.         },
  8255.         "D_SCAN_IN_4": {
  8256.           "hide_name": 0,
  8257.           "bits": [ 145 ],
  8258.           "attributes": {
  8259.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8260.           }
  8261.         },
  8262.         "D_SCAN_IN_5": {
  8263.           "hide_name": 0,
  8264.           "bits": [ 146 ],
  8265.           "attributes": {
  8266.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8267.           }
  8268.         },
  8269.         "D_SCAN_IN_6": {
  8270.           "hide_name": 0,
  8271.           "bits": [ 147 ],
  8272.           "attributes": {
  8273.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8274.           }
  8275.         },
  8276.         "D_SCAN_IN_7": {
  8277.           "hide_name": 0,
  8278.           "bits": [ 148 ],
  8279.           "attributes": {
  8280.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8281.           }
  8282.         },
  8283.         "D_SCAN_MODE": {
  8284.           "hide_name": 0,
  8285.           "bits": [ 149 ],
  8286.           "attributes": {
  8287.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:363"
  8288.           }
  8289.         },
  8290.         "D_SCAN_OUT_0": {
  8291.           "hide_name": 0,
  8292.           "bits": [ 264 ],
  8293.           "attributes": {
  8294.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8295.           }
  8296.         },
  8297.         "D_SCAN_OUT_1": {
  8298.           "hide_name": 0,
  8299.           "bits": [ 265 ],
  8300.           "attributes": {
  8301.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8302.           }
  8303.         },
  8304.         "D_SCAN_OUT_2": {
  8305.           "hide_name": 0,
  8306.           "bits": [ 266 ],
  8307.           "attributes": {
  8308.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8309.           }
  8310.         },
  8311.         "D_SCAN_OUT_3": {
  8312.           "hide_name": 0,
  8313.           "bits": [ 267 ],
  8314.           "attributes": {
  8315.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8316.           }
  8317.         },
  8318.         "D_SCAN_OUT_4": {
  8319.           "hide_name": 0,
  8320.           "bits": [ 268 ],
  8321.           "attributes": {
  8322.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8323.           }
  8324.         },
  8325.         "D_SCAN_OUT_5": {
  8326.           "hide_name": 0,
  8327.           "bits": [ 269 ],
  8328.           "attributes": {
  8329.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8330.           }
  8331.         },
  8332.         "D_SCAN_OUT_6": {
  8333.           "hide_name": 0,
  8334.           "bits": [ 270 ],
  8335.           "attributes": {
  8336.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8337.           }
  8338.         },
  8339.         "D_SCAN_OUT_7": {
  8340.           "hide_name": 0,
  8341.           "bits": [ 271 ],
  8342.           "attributes": {
  8343.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8344.           }
  8345.         },
  8346.         "D_SCAN_RESET": {
  8347.           "hide_name": 0,
  8348.           "bits": [ 150 ],
  8349.           "attributes": {
  8350.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:364"
  8351.           }
  8352.         },
  8353.         "D_SCIADDR0": {
  8354.           "hide_name": 0,
  8355.           "bits": [ 118 ],
  8356.           "attributes": {
  8357.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8358.           }
  8359.         },
  8360.         "D_SCIADDR1": {
  8361.           "hide_name": 0,
  8362.           "bits": [ 119 ],
  8363.           "attributes": {
  8364.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8365.           }
  8366.         },
  8367.         "D_SCIADDR2": {
  8368.           "hide_name": 0,
  8369.           "bits": [ 120 ],
  8370.           "attributes": {
  8371.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8372.           }
  8373.         },
  8374.         "D_SCIADDR3": {
  8375.           "hide_name": 0,
  8376.           "bits": [ 121 ],
  8377.           "attributes": {
  8378.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8379.           }
  8380.         },
  8381.         "D_SCIADDR4": {
  8382.           "hide_name": 0,
  8383.           "bits": [ 122 ],
  8384.           "attributes": {
  8385.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8386.           }
  8387.         },
  8388.         "D_SCIADDR5": {
  8389.           "hide_name": 0,
  8390.           "bits": [ 123 ],
  8391.           "attributes": {
  8392.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8393.           }
  8394.         },
  8395.         "D_SCIENAUX": {
  8396.           "hide_name": 0,
  8397.           "bits": [ 124 ],
  8398.           "attributes": {
  8399.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8400.           }
  8401.         },
  8402.         "D_SCIINT": {
  8403.           "hide_name": 0,
  8404.           "bits": [ 263 ],
  8405.           "attributes": {
  8406.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8407.           }
  8408.         },
  8409.         "D_SCIRD": {
  8410.           "hide_name": 0,
  8411.           "bits": [ 130 ],
  8412.           "attributes": {
  8413.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  8414.           }
  8415.         },
  8416.         "D_SCIRDATA0": {
  8417.           "hide_name": 0,
  8418.           "bits": [ 255 ],
  8419.           "attributes": {
  8420.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  8421.           }
  8422.         },
  8423.         "D_SCIRDATA1": {
  8424.           "hide_name": 0,
  8425.           "bits": [ 256 ],
  8426.           "attributes": {
  8427.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  8428.           }
  8429.         },
  8430.         "D_SCIRDATA2": {
  8431.           "hide_name": 0,
  8432.           "bits": [ 257 ],
  8433.           "attributes": {
  8434.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  8435.           }
  8436.         },
  8437.         "D_SCIRDATA3": {
  8438.           "hide_name": 0,
  8439.           "bits": [ 258 ],
  8440.           "attributes": {
  8441.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:376"
  8442.           }
  8443.         },
  8444.         "D_SCIRDATA4": {
  8445.           "hide_name": 0,
  8446.           "bits": [ 259 ],
  8447.           "attributes": {
  8448.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8449.           }
  8450.         },
  8451.         "D_SCIRDATA5": {
  8452.           "hide_name": 0,
  8453.           "bits": [ 260 ],
  8454.           "attributes": {
  8455.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8456.           }
  8457.         },
  8458.         "D_SCIRDATA6": {
  8459.           "hide_name": 0,
  8460.           "bits": [ 261 ],
  8461.           "attributes": {
  8462.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8463.           }
  8464.         },
  8465.         "D_SCIRDATA7": {
  8466.           "hide_name": 0,
  8467.           "bits": [ 262 ],
  8468.           "attributes": {
  8469.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:377"
  8470.           }
  8471.         },
  8472.         "D_SCISELAUX": {
  8473.           "hide_name": 0,
  8474.           "bits": [ 125 ],
  8475.           "attributes": {
  8476.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:360"
  8477.           }
  8478.         },
  8479.         "D_SCIWDATA0": {
  8480.           "hide_name": 0,
  8481.           "bits": [ 110 ],
  8482.           "attributes": {
  8483.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8484.           }
  8485.         },
  8486.         "D_SCIWDATA1": {
  8487.           "hide_name": 0,
  8488.           "bits": [ 111 ],
  8489.           "attributes": {
  8490.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8491.           }
  8492.         },
  8493.         "D_SCIWDATA2": {
  8494.           "hide_name": 0,
  8495.           "bits": [ 112 ],
  8496.           "attributes": {
  8497.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8498.           }
  8499.         },
  8500.         "D_SCIWDATA3": {
  8501.           "hide_name": 0,
  8502.           "bits": [ 113 ],
  8503.           "attributes": {
  8504.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8505.           }
  8506.         },
  8507.         "D_SCIWDATA4": {
  8508.           "hide_name": 0,
  8509.           "bits": [ 114 ],
  8510.           "attributes": {
  8511.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8512.           }
  8513.         },
  8514.         "D_SCIWDATA5": {
  8515.           "hide_name": 0,
  8516.           "bits": [ 115 ],
  8517.           "attributes": {
  8518.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8519.           }
  8520.         },
  8521.         "D_SCIWDATA6": {
  8522.           "hide_name": 0,
  8523.           "bits": [ 116 ],
  8524.           "attributes": {
  8525.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8526.           }
  8527.         },
  8528.         "D_SCIWDATA7": {
  8529.           "hide_name": 0,
  8530.           "bits": [ 117 ],
  8531.           "attributes": {
  8532.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:359"
  8533.           }
  8534.         },
  8535.         "D_SCIWSTN": {
  8536.           "hide_name": 0,
  8537.           "bits": [ 131 ],
  8538.           "attributes": {
  8539.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:361"
  8540.           }
  8541.         },
  8542.         "D_SYNC_ND": {
  8543.           "hide_name": 0,
  8544.           "bits": [ 8 ],
  8545.           "attributes": {
  8546.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:346"
  8547.           }
  8548.         },
  8549.         "D_SYNC_PULSE2ND": {
  8550.           "hide_name": 0,
  8551.           "bits": [ 169 ],
  8552.           "attributes": {
  8553.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  8554.           }
  8555.         },
  8556.         "D_TXBIT_CLKN_FROM_ND": {
  8557.           "hide_name": 0,
  8558.           "bits": [ 7 ],
  8559.           "attributes": {
  8560.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:346"
  8561.           }
  8562.         },
  8563.         "D_TXBIT_CLKN_TO_ND": {
  8564.           "hide_name": 0,
  8565.           "bits": [ 168 ],
  8566.           "attributes": {
  8567.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  8568.           }
  8569.         },
  8570.         "D_TXBIT_CLKP_FROM_ND": {
  8571.           "hide_name": 0,
  8572.           "bits": [ 6 ],
  8573.           "attributes": {
  8574.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:346"
  8575.           }
  8576.         },
  8577.         "D_TXBIT_CLKP_TO_ND": {
  8578.           "hide_name": 0,
  8579.           "bits": [ 167 ],
  8580.           "attributes": {
  8581.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  8582.           }
  8583.         },
  8584.         "D_TXPLL_LOL_FROM_ND": {
  8585.           "hide_name": 0,
  8586.           "bits": [ 9 ],
  8587.           "attributes": {
  8588.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:346"
  8589.           }
  8590.         },
  8591.         "D_TXPLL_LOL_TO_ND": {
  8592.           "hide_name": 0,
  8593.           "bits": [ 170 ],
  8594.           "attributes": {
  8595.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:365"
  8596.           }
  8597.         }
  8598.       }
  8599.     },
  8600.     "DDRDLLA": {
  8601.       "attributes": {
  8602.         "blackbox": 1,
  8603.         "cells_not_processed": 1,
  8604.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:312"
  8605.       },
  8606.       "ports": {
  8607.         "CLK": {
  8608.           "direction": "input",
  8609.           "bits": [ 2 ]
  8610.         },
  8611.         "RST": {
  8612.           "direction": "input",
  8613.           "bits": [ 3 ]
  8614.         },
  8615.         "UDDCNTLN": {
  8616.           "direction": "input",
  8617.           "bits": [ 4 ]
  8618.         },
  8619.         "FREEZE": {
  8620.           "direction": "input",
  8621.           "bits": [ 5 ]
  8622.         },
  8623.         "LOCK": {
  8624.           "direction": "output",
  8625.           "bits": [ 6 ]
  8626.         },
  8627.         "DDRDEL": {
  8628.           "direction": "output",
  8629.           "bits": [ 7 ]
  8630.         },
  8631.         "DCNTL7": {
  8632.           "direction": "output",
  8633.           "bits": [ 8 ]
  8634.         },
  8635.         "DCNTL6": {
  8636.           "direction": "output",
  8637.           "bits": [ 9 ]
  8638.         },
  8639.         "DCNTL5": {
  8640.           "direction": "output",
  8641.           "bits": [ 10 ]
  8642.         },
  8643.         "DCNTL4": {
  8644.           "direction": "output",
  8645.           "bits": [ 11 ]
  8646.         },
  8647.         "DCNTL3": {
  8648.           "direction": "output",
  8649.           "bits": [ 12 ]
  8650.         },
  8651.         "DCNTL2": {
  8652.           "direction": "output",
  8653.           "bits": [ 13 ]
  8654.         },
  8655.         "DCNTL1": {
  8656.           "direction": "output",
  8657.           "bits": [ 14 ]
  8658.         },
  8659.         "DCNTL0": {
  8660.           "direction": "output",
  8661.           "bits": [ 15 ]
  8662.         }
  8663.       },
  8664.       "cells": {
  8665.       },
  8666.       "netnames": {
  8667.         "CLK": {
  8668.           "hide_name": 0,
  8669.           "bits": [ 2 ],
  8670.           "attributes": {
  8671.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313"
  8672.           }
  8673.         },
  8674.         "DCNTL0": {
  8675.           "hide_name": 0,
  8676.           "bits": [ 15 ],
  8677.           "attributes": {
  8678.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8679.           }
  8680.         },
  8681.         "DCNTL1": {
  8682.           "hide_name": 0,
  8683.           "bits": [ 14 ],
  8684.           "attributes": {
  8685.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8686.           }
  8687.         },
  8688.         "DCNTL2": {
  8689.           "hide_name": 0,
  8690.           "bits": [ 13 ],
  8691.           "attributes": {
  8692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8693.           }
  8694.         },
  8695.         "DCNTL3": {
  8696.           "hide_name": 0,
  8697.           "bits": [ 12 ],
  8698.           "attributes": {
  8699.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8700.           }
  8701.         },
  8702.         "DCNTL4": {
  8703.           "hide_name": 0,
  8704.           "bits": [ 11 ],
  8705.           "attributes": {
  8706.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8707.           }
  8708.         },
  8709.         "DCNTL5": {
  8710.           "hide_name": 0,
  8711.           "bits": [ 10 ],
  8712.           "attributes": {
  8713.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8714.           }
  8715.         },
  8716.         "DCNTL6": {
  8717.           "hide_name": 0,
  8718.           "bits": [ 9 ],
  8719.           "attributes": {
  8720.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8721.           }
  8722.         },
  8723.         "DCNTL7": {
  8724.           "hide_name": 0,
  8725.           "bits": [ 8 ],
  8726.           "attributes": {
  8727.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8728.           }
  8729.         },
  8730.         "DDRDEL": {
  8731.           "hide_name": 0,
  8732.           "bits": [ 7 ],
  8733.           "attributes": {
  8734.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8735.           }
  8736.         },
  8737.         "FREEZE": {
  8738.           "hide_name": 0,
  8739.           "bits": [ 5 ],
  8740.           "attributes": {
  8741.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313"
  8742.           }
  8743.         },
  8744.         "LOCK": {
  8745.           "hide_name": 0,
  8746.           "bits": [ 6 ],
  8747.           "attributes": {
  8748.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:314"
  8749.           }
  8750.         },
  8751.         "RST": {
  8752.           "hide_name": 0,
  8753.           "bits": [ 3 ],
  8754.           "attributes": {
  8755.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313"
  8756.           }
  8757.         },
  8758.         "UDDCNTLN": {
  8759.           "hide_name": 0,
  8760.           "bits": [ 4 ],
  8761.           "attributes": {
  8762.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:313"
  8763.           }
  8764.         }
  8765.       }
  8766.     },
  8767.     "DELAYF": {
  8768.       "attributes": {
  8769.         "blackbox": 1,
  8770.         "cells_not_processed": 1,
  8771.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:177"
  8772.       },
  8773.       "ports": {
  8774.         "A": {
  8775.           "direction": "input",
  8776.           "bits": [ 2 ]
  8777.         },
  8778.         "LOADN": {
  8779.           "direction": "input",
  8780.           "bits": [ 3 ]
  8781.         },
  8782.         "MOVE": {
  8783.           "direction": "input",
  8784.           "bits": [ 4 ]
  8785.         },
  8786.         "DIRECTION": {
  8787.           "direction": "input",
  8788.           "bits": [ 5 ]
  8789.         },
  8790.         "Z": {
  8791.           "direction": "output",
  8792.           "bits": [ 6 ]
  8793.         },
  8794.         "CFLAG": {
  8795.           "direction": "output",
  8796.           "bits": [ 7 ]
  8797.         }
  8798.       },
  8799.       "cells": {
  8800.       },
  8801.       "netnames": {
  8802.         "A": {
  8803.           "hide_name": 0,
  8804.           "bits": [ 2 ],
  8805.           "attributes": {
  8806.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178"
  8807.           }
  8808.         },
  8809.         "CFLAG": {
  8810.           "hide_name": 0,
  8811.           "bits": [ 7 ],
  8812.           "attributes": {
  8813.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:179"
  8814.           }
  8815.         },
  8816.         "DIRECTION": {
  8817.           "hide_name": 0,
  8818.           "bits": [ 5 ],
  8819.           "attributes": {
  8820.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178"
  8821.           }
  8822.         },
  8823.         "LOADN": {
  8824.           "hide_name": 0,
  8825.           "bits": [ 3 ],
  8826.           "attributes": {
  8827.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178"
  8828.           }
  8829.         },
  8830.         "MOVE": {
  8831.           "hide_name": 0,
  8832.           "bits": [ 4 ],
  8833.           "attributes": {
  8834.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:178"
  8835.           }
  8836.         },
  8837.         "Z": {
  8838.           "hide_name": 0,
  8839.           "bits": [ 6 ],
  8840.           "attributes": {
  8841.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:179"
  8842.           }
  8843.         }
  8844.       }
  8845.     },
  8846.     "DELAYG": {
  8847.       "attributes": {
  8848.         "blackbox": 1,
  8849.         "cells_not_processed": 1,
  8850.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:186"
  8851.       },
  8852.       "ports": {
  8853.         "A": {
  8854.           "direction": "input",
  8855.           "bits": [ 2 ]
  8856.         },
  8857.         "Z": {
  8858.           "direction": "output",
  8859.           "bits": [ 3 ]
  8860.         }
  8861.       },
  8862.       "cells": {
  8863.       },
  8864.       "netnames": {
  8865.         "A": {
  8866.           "hide_name": 0,
  8867.           "bits": [ 2 ],
  8868.           "attributes": {
  8869.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:187"
  8870.           }
  8871.         },
  8872.         "Z": {
  8873.           "hide_name": 0,
  8874.           "bits": [ 3 ],
  8875.           "attributes": {
  8876.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:188"
  8877.           }
  8878.         }
  8879.       }
  8880.     },
  8881.     "DP16KD": {
  8882.       "attributes": {
  8883.         "blackbox": 1,
  8884.         "cells_not_processed": 1,
  8885.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:437"
  8886.       },
  8887.       "ports": {
  8888.         "DIA17": {
  8889.           "direction": "input",
  8890.           "bits": [ 2 ]
  8891.         },
  8892.         "DIA16": {
  8893.           "direction": "input",
  8894.           "bits": [ 3 ]
  8895.         },
  8896.         "DIA15": {
  8897.           "direction": "input",
  8898.           "bits": [ 4 ]
  8899.         },
  8900.         "DIA14": {
  8901.           "direction": "input",
  8902.           "bits": [ 5 ]
  8903.         },
  8904.         "DIA13": {
  8905.           "direction": "input",
  8906.           "bits": [ 6 ]
  8907.         },
  8908.         "DIA12": {
  8909.           "direction": "input",
  8910.           "bits": [ 7 ]
  8911.         },
  8912.         "DIA11": {
  8913.           "direction": "input",
  8914.           "bits": [ 8 ]
  8915.         },
  8916.         "DIA10": {
  8917.           "direction": "input",
  8918.           "bits": [ 9 ]
  8919.         },
  8920.         "DIA9": {
  8921.           "direction": "input",
  8922.           "bits": [ 10 ]
  8923.         },
  8924.         "DIA8": {
  8925.           "direction": "input",
  8926.           "bits": [ 11 ]
  8927.         },
  8928.         "DIA7": {
  8929.           "direction": "input",
  8930.           "bits": [ 12 ]
  8931.         },
  8932.         "DIA6": {
  8933.           "direction": "input",
  8934.           "bits": [ 13 ]
  8935.         },
  8936.         "DIA5": {
  8937.           "direction": "input",
  8938.           "bits": [ 14 ]
  8939.         },
  8940.         "DIA4": {
  8941.           "direction": "input",
  8942.           "bits": [ 15 ]
  8943.         },
  8944.         "DIA3": {
  8945.           "direction": "input",
  8946.           "bits": [ 16 ]
  8947.         },
  8948.         "DIA2": {
  8949.           "direction": "input",
  8950.           "bits": [ 17 ]
  8951.         },
  8952.         "DIA1": {
  8953.           "direction": "input",
  8954.           "bits": [ 18 ]
  8955.         },
  8956.         "DIA0": {
  8957.           "direction": "input",
  8958.           "bits": [ 19 ]
  8959.         },
  8960.         "ADA13": {
  8961.           "direction": "input",
  8962.           "bits": [ 20 ]
  8963.         },
  8964.         "ADA12": {
  8965.           "direction": "input",
  8966.           "bits": [ 21 ]
  8967.         },
  8968.         "ADA11": {
  8969.           "direction": "input",
  8970.           "bits": [ 22 ]
  8971.         },
  8972.         "ADA10": {
  8973.           "direction": "input",
  8974.           "bits": [ 23 ]
  8975.         },
  8976.         "ADA9": {
  8977.           "direction": "input",
  8978.           "bits": [ 24 ]
  8979.         },
  8980.         "ADA8": {
  8981.           "direction": "input",
  8982.           "bits": [ 25 ]
  8983.         },
  8984.         "ADA7": {
  8985.           "direction": "input",
  8986.           "bits": [ 26 ]
  8987.         },
  8988.         "ADA6": {
  8989.           "direction": "input",
  8990.           "bits": [ 27 ]
  8991.         },
  8992.         "ADA5": {
  8993.           "direction": "input",
  8994.           "bits": [ 28 ]
  8995.         },
  8996.         "ADA4": {
  8997.           "direction": "input",
  8998.           "bits": [ 29 ]
  8999.         },
  9000.         "ADA3": {
  9001.           "direction": "input",
  9002.           "bits": [ 30 ]
  9003.         },
  9004.         "ADA2": {
  9005.           "direction": "input",
  9006.           "bits": [ 31 ]
  9007.         },
  9008.         "ADA1": {
  9009.           "direction": "input",
  9010.           "bits": [ 32 ]
  9011.         },
  9012.         "ADA0": {
  9013.           "direction": "input",
  9014.           "bits": [ 33 ]
  9015.         },
  9016.         "CEA": {
  9017.           "direction": "input",
  9018.           "bits": [ 34 ]
  9019.         },
  9020.         "OCEA": {
  9021.           "direction": "input",
  9022.           "bits": [ 35 ]
  9023.         },
  9024.         "CLKA": {
  9025.           "direction": "input",
  9026.           "bits": [ 36 ]
  9027.         },
  9028.         "WEA": {
  9029.           "direction": "input",
  9030.           "bits": [ 37 ]
  9031.         },
  9032.         "RSTA": {
  9033.           "direction": "input",
  9034.           "bits": [ 38 ]
  9035.         },
  9036.         "CSA2": {
  9037.           "direction": "input",
  9038.           "bits": [ 39 ]
  9039.         },
  9040.         "CSA1": {
  9041.           "direction": "input",
  9042.           "bits": [ 40 ]
  9043.         },
  9044.         "CSA0": {
  9045.           "direction": "input",
  9046.           "bits": [ 41 ]
  9047.         },
  9048.         "DOA17": {
  9049.           "direction": "output",
  9050.           "bits": [ 42 ]
  9051.         },
  9052.         "DOA16": {
  9053.           "direction": "output",
  9054.           "bits": [ 43 ]
  9055.         },
  9056.         "DOA15": {
  9057.           "direction": "output",
  9058.           "bits": [ 44 ]
  9059.         },
  9060.         "DOA14": {
  9061.           "direction": "output",
  9062.           "bits": [ 45 ]
  9063.         },
  9064.         "DOA13": {
  9065.           "direction": "output",
  9066.           "bits": [ 46 ]
  9067.         },
  9068.         "DOA12": {
  9069.           "direction": "output",
  9070.           "bits": [ 47 ]
  9071.         },
  9072.         "DOA11": {
  9073.           "direction": "output",
  9074.           "bits": [ 48 ]
  9075.         },
  9076.         "DOA10": {
  9077.           "direction": "output",
  9078.           "bits": [ 49 ]
  9079.         },
  9080.         "DOA9": {
  9081.           "direction": "output",
  9082.           "bits": [ 50 ]
  9083.         },
  9084.         "DOA8": {
  9085.           "direction": "output",
  9086.           "bits": [ 51 ]
  9087.         },
  9088.         "DOA7": {
  9089.           "direction": "output",
  9090.           "bits": [ 52 ]
  9091.         },
  9092.         "DOA6": {
  9093.           "direction": "output",
  9094.           "bits": [ 53 ]
  9095.         },
  9096.         "DOA5": {
  9097.           "direction": "output",
  9098.           "bits": [ 54 ]
  9099.         },
  9100.         "DOA4": {
  9101.           "direction": "output",
  9102.           "bits": [ 55 ]
  9103.         },
  9104.         "DOA3": {
  9105.           "direction": "output",
  9106.           "bits": [ 56 ]
  9107.         },
  9108.         "DOA2": {
  9109.           "direction": "output",
  9110.           "bits": [ 57 ]
  9111.         },
  9112.         "DOA1": {
  9113.           "direction": "output",
  9114.           "bits": [ 58 ]
  9115.         },
  9116.         "DOA0": {
  9117.           "direction": "output",
  9118.           "bits": [ 59 ]
  9119.         },
  9120.         "DIB17": {
  9121.           "direction": "input",
  9122.           "bits": [ 60 ]
  9123.         },
  9124.         "DIB16": {
  9125.           "direction": "input",
  9126.           "bits": [ 61 ]
  9127.         },
  9128.         "DIB15": {
  9129.           "direction": "input",
  9130.           "bits": [ 62 ]
  9131.         },
  9132.         "DIB14": {
  9133.           "direction": "input",
  9134.           "bits": [ 63 ]
  9135.         },
  9136.         "DIB13": {
  9137.           "direction": "input",
  9138.           "bits": [ 64 ]
  9139.         },
  9140.         "DIB12": {
  9141.           "direction": "input",
  9142.           "bits": [ 65 ]
  9143.         },
  9144.         "DIB11": {
  9145.           "direction": "input",
  9146.           "bits": [ 66 ]
  9147.         },
  9148.         "DIB10": {
  9149.           "direction": "input",
  9150.           "bits": [ 67 ]
  9151.         },
  9152.         "DIB9": {
  9153.           "direction": "input",
  9154.           "bits": [ 68 ]
  9155.         },
  9156.         "DIB8": {
  9157.           "direction": "input",
  9158.           "bits": [ 69 ]
  9159.         },
  9160.         "DIB7": {
  9161.           "direction": "input",
  9162.           "bits": [ 70 ]
  9163.         },
  9164.         "DIB6": {
  9165.           "direction": "input",
  9166.           "bits": [ 71 ]
  9167.         },
  9168.         "DIB5": {
  9169.           "direction": "input",
  9170.           "bits": [ 72 ]
  9171.         },
  9172.         "DIB4": {
  9173.           "direction": "input",
  9174.           "bits": [ 73 ]
  9175.         },
  9176.         "DIB3": {
  9177.           "direction": "input",
  9178.           "bits": [ 74 ]
  9179.         },
  9180.         "DIB2": {
  9181.           "direction": "input",
  9182.           "bits": [ 75 ]
  9183.         },
  9184.         "DIB1": {
  9185.           "direction": "input",
  9186.           "bits": [ 76 ]
  9187.         },
  9188.         "DIB0": {
  9189.           "direction": "input",
  9190.           "bits": [ 77 ]
  9191.         },
  9192.         "ADB13": {
  9193.           "direction": "input",
  9194.           "bits": [ 78 ]
  9195.         },
  9196.         "ADB12": {
  9197.           "direction": "input",
  9198.           "bits": [ 79 ]
  9199.         },
  9200.         "ADB11": {
  9201.           "direction": "input",
  9202.           "bits": [ 80 ]
  9203.         },
  9204.         "ADB10": {
  9205.           "direction": "input",
  9206.           "bits": [ 81 ]
  9207.         },
  9208.         "ADB9": {
  9209.           "direction": "input",
  9210.           "bits": [ 82 ]
  9211.         },
  9212.         "ADB8": {
  9213.           "direction": "input",
  9214.           "bits": [ 83 ]
  9215.         },
  9216.         "ADB7": {
  9217.           "direction": "input",
  9218.           "bits": [ 84 ]
  9219.         },
  9220.         "ADB6": {
  9221.           "direction": "input",
  9222.           "bits": [ 85 ]
  9223.         },
  9224.         "ADB5": {
  9225.           "direction": "input",
  9226.           "bits": [ 86 ]
  9227.         },
  9228.         "ADB4": {
  9229.           "direction": "input",
  9230.           "bits": [ 87 ]
  9231.         },
  9232.         "ADB3": {
  9233.           "direction": "input",
  9234.           "bits": [ 88 ]
  9235.         },
  9236.         "ADB2": {
  9237.           "direction": "input",
  9238.           "bits": [ 89 ]
  9239.         },
  9240.         "ADB1": {
  9241.           "direction": "input",
  9242.           "bits": [ 90 ]
  9243.         },
  9244.         "ADB0": {
  9245.           "direction": "input",
  9246.           "bits": [ 91 ]
  9247.         },
  9248.         "CEB": {
  9249.           "direction": "input",
  9250.           "bits": [ 92 ]
  9251.         },
  9252.         "OCEB": {
  9253.           "direction": "input",
  9254.           "bits": [ 93 ]
  9255.         },
  9256.         "CLKB": {
  9257.           "direction": "input",
  9258.           "bits": [ 94 ]
  9259.         },
  9260.         "WEB": {
  9261.           "direction": "input",
  9262.           "bits": [ 95 ]
  9263.         },
  9264.         "RSTB": {
  9265.           "direction": "input",
  9266.           "bits": [ 96 ]
  9267.         },
  9268.         "CSB2": {
  9269.           "direction": "input",
  9270.           "bits": [ 97 ]
  9271.         },
  9272.         "CSB1": {
  9273.           "direction": "input",
  9274.           "bits": [ 98 ]
  9275.         },
  9276.         "CSB0": {
  9277.           "direction": "input",
  9278.           "bits": [ 99 ]
  9279.         },
  9280.         "DOB17": {
  9281.           "direction": "output",
  9282.           "bits": [ 100 ]
  9283.         },
  9284.         "DOB16": {
  9285.           "direction": "output",
  9286.           "bits": [ 101 ]
  9287.         },
  9288.         "DOB15": {
  9289.           "direction": "output",
  9290.           "bits": [ 102 ]
  9291.         },
  9292.         "DOB14": {
  9293.           "direction": "output",
  9294.           "bits": [ 103 ]
  9295.         },
  9296.         "DOB13": {
  9297.           "direction": "output",
  9298.           "bits": [ 104 ]
  9299.         },
  9300.         "DOB12": {
  9301.           "direction": "output",
  9302.           "bits": [ 105 ]
  9303.         },
  9304.         "DOB11": {
  9305.           "direction": "output",
  9306.           "bits": [ 106 ]
  9307.         },
  9308.         "DOB10": {
  9309.           "direction": "output",
  9310.           "bits": [ 107 ]
  9311.         },
  9312.         "DOB9": {
  9313.           "direction": "output",
  9314.           "bits": [ 108 ]
  9315.         },
  9316.         "DOB8": {
  9317.           "direction": "output",
  9318.           "bits": [ 109 ]
  9319.         },
  9320.         "DOB7": {
  9321.           "direction": "output",
  9322.           "bits": [ 110 ]
  9323.         },
  9324.         "DOB6": {
  9325.           "direction": "output",
  9326.           "bits": [ 111 ]
  9327.         },
  9328.         "DOB5": {
  9329.           "direction": "output",
  9330.           "bits": [ 112 ]
  9331.         },
  9332.         "DOB4": {
  9333.           "direction": "output",
  9334.           "bits": [ 113 ]
  9335.         },
  9336.         "DOB3": {
  9337.           "direction": "output",
  9338.           "bits": [ 114 ]
  9339.         },
  9340.         "DOB2": {
  9341.           "direction": "output",
  9342.           "bits": [ 115 ]
  9343.         },
  9344.         "DOB1": {
  9345.           "direction": "output",
  9346.           "bits": [ 116 ]
  9347.         },
  9348.         "DOB0": {
  9349.           "direction": "output",
  9350.           "bits": [ 117 ]
  9351.         }
  9352.       },
  9353.       "cells": {
  9354.       },
  9355.       "netnames": {
  9356.         "ADA0": {
  9357.           "hide_name": 0,
  9358.           "bits": [ 33 ],
  9359.           "attributes": {
  9360.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9361.           }
  9362.         },
  9363.         "ADA1": {
  9364.           "hide_name": 0,
  9365.           "bits": [ 32 ],
  9366.           "attributes": {
  9367.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9368.           }
  9369.         },
  9370.         "ADA10": {
  9371.           "hide_name": 0,
  9372.           "bits": [ 23 ],
  9373.           "attributes": {
  9374.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9375.           }
  9376.         },
  9377.         "ADA11": {
  9378.           "hide_name": 0,
  9379.           "bits": [ 22 ],
  9380.           "attributes": {
  9381.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9382.           }
  9383.         },
  9384.         "ADA12": {
  9385.           "hide_name": 0,
  9386.           "bits": [ 21 ],
  9387.           "attributes": {
  9388.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9389.           }
  9390.         },
  9391.         "ADA13": {
  9392.           "hide_name": 0,
  9393.           "bits": [ 20 ],
  9394.           "attributes": {
  9395.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9396.           }
  9397.         },
  9398.         "ADA2": {
  9399.           "hide_name": 0,
  9400.           "bits": [ 31 ],
  9401.           "attributes": {
  9402.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9403.           }
  9404.         },
  9405.         "ADA3": {
  9406.           "hide_name": 0,
  9407.           "bits": [ 30 ],
  9408.           "attributes": {
  9409.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9410.           }
  9411.         },
  9412.         "ADA4": {
  9413.           "hide_name": 0,
  9414.           "bits": [ 29 ],
  9415.           "attributes": {
  9416.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9417.           }
  9418.         },
  9419.         "ADA5": {
  9420.           "hide_name": 0,
  9421.           "bits": [ 28 ],
  9422.           "attributes": {
  9423.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9424.           }
  9425.         },
  9426.         "ADA6": {
  9427.           "hide_name": 0,
  9428.           "bits": [ 27 ],
  9429.           "attributes": {
  9430.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9431.           }
  9432.         },
  9433.         "ADA7": {
  9434.           "hide_name": 0,
  9435.           "bits": [ 26 ],
  9436.           "attributes": {
  9437.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9438.           }
  9439.         },
  9440.         "ADA8": {
  9441.           "hide_name": 0,
  9442.           "bits": [ 25 ],
  9443.           "attributes": {
  9444.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9445.           }
  9446.         },
  9447.         "ADA9": {
  9448.           "hide_name": 0,
  9449.           "bits": [ 24 ],
  9450.           "attributes": {
  9451.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:439"
  9452.           }
  9453.         },
  9454.         "ADB0": {
  9455.           "hide_name": 0,
  9456.           "bits": [ 91 ],
  9457.           "attributes": {
  9458.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9459.           }
  9460.         },
  9461.         "ADB1": {
  9462.           "hide_name": 0,
  9463.           "bits": [ 90 ],
  9464.           "attributes": {
  9465.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9466.           }
  9467.         },
  9468.         "ADB10": {
  9469.           "hide_name": 0,
  9470.           "bits": [ 81 ],
  9471.           "attributes": {
  9472.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9473.           }
  9474.         },
  9475.         "ADB11": {
  9476.           "hide_name": 0,
  9477.           "bits": [ 80 ],
  9478.           "attributes": {
  9479.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9480.           }
  9481.         },
  9482.         "ADB12": {
  9483.           "hide_name": 0,
  9484.           "bits": [ 79 ],
  9485.           "attributes": {
  9486.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9487.           }
  9488.         },
  9489.         "ADB13": {
  9490.           "hide_name": 0,
  9491.           "bits": [ 78 ],
  9492.           "attributes": {
  9493.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9494.           }
  9495.         },
  9496.         "ADB2": {
  9497.           "hide_name": 0,
  9498.           "bits": [ 89 ],
  9499.           "attributes": {
  9500.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9501.           }
  9502.         },
  9503.         "ADB3": {
  9504.           "hide_name": 0,
  9505.           "bits": [ 88 ],
  9506.           "attributes": {
  9507.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9508.           }
  9509.         },
  9510.         "ADB4": {
  9511.           "hide_name": 0,
  9512.           "bits": [ 87 ],
  9513.           "attributes": {
  9514.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9515.           }
  9516.         },
  9517.         "ADB5": {
  9518.           "hide_name": 0,
  9519.           "bits": [ 86 ],
  9520.           "attributes": {
  9521.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9522.           }
  9523.         },
  9524.         "ADB6": {
  9525.           "hide_name": 0,
  9526.           "bits": [ 85 ],
  9527.           "attributes": {
  9528.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9529.           }
  9530.         },
  9531.         "ADB7": {
  9532.           "hide_name": 0,
  9533.           "bits": [ 84 ],
  9534.           "attributes": {
  9535.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9536.           }
  9537.         },
  9538.         "ADB8": {
  9539.           "hide_name": 0,
  9540.           "bits": [ 83 ],
  9541.           "attributes": {
  9542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9543.           }
  9544.         },
  9545.         "ADB9": {
  9546.           "hide_name": 0,
  9547.           "bits": [ 82 ],
  9548.           "attributes": {
  9549.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:445"
  9550.           }
  9551.         },
  9552.         "CEA": {
  9553.           "hide_name": 0,
  9554.           "bits": [ 34 ],
  9555.           "attributes": {
  9556.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:440"
  9557.           }
  9558.         },
  9559.         "CEB": {
  9560.           "hide_name": 0,
  9561.           "bits": [ 92 ],
  9562.           "attributes": {
  9563.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446"
  9564.           }
  9565.         },
  9566.         "CLKA": {
  9567.           "hide_name": 0,
  9568.           "bits": [ 36 ],
  9569.           "attributes": {
  9570.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:440"
  9571.           }
  9572.         },
  9573.         "CLKB": {
  9574.           "hide_name": 0,
  9575.           "bits": [ 94 ],
  9576.           "attributes": {
  9577.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446"
  9578.           }
  9579.         },
  9580.         "CSA0": {
  9581.           "hide_name": 0,
  9582.           "bits": [ 41 ],
  9583.           "attributes": {
  9584.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:441"
  9585.           }
  9586.         },
  9587.         "CSA1": {
  9588.           "hide_name": 0,
  9589.           "bits": [ 40 ],
  9590.           "attributes": {
  9591.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:441"
  9592.           }
  9593.         },
  9594.         "CSA2": {
  9595.           "hide_name": 0,
  9596.           "bits": [ 39 ],
  9597.           "attributes": {
  9598.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:441"
  9599.           }
  9600.         },
  9601.         "CSB0": {
  9602.           "hide_name": 0,
  9603.           "bits": [ 99 ],
  9604.           "attributes": {
  9605.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:447"
  9606.           }
  9607.         },
  9608.         "CSB1": {
  9609.           "hide_name": 0,
  9610.           "bits": [ 98 ],
  9611.           "attributes": {
  9612.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:447"
  9613.           }
  9614.         },
  9615.         "CSB2": {
  9616.           "hide_name": 0,
  9617.           "bits": [ 97 ],
  9618.           "attributes": {
  9619.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:447"
  9620.           }
  9621.         },
  9622.         "DIA0": {
  9623.           "hide_name": 0,
  9624.           "bits": [ 19 ],
  9625.           "attributes": {
  9626.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9627.           }
  9628.         },
  9629.         "DIA1": {
  9630.           "hide_name": 0,
  9631.           "bits": [ 18 ],
  9632.           "attributes": {
  9633.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9634.           }
  9635.         },
  9636.         "DIA10": {
  9637.           "hide_name": 0,
  9638.           "bits": [ 9 ],
  9639.           "attributes": {
  9640.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9641.           }
  9642.         },
  9643.         "DIA11": {
  9644.           "hide_name": 0,
  9645.           "bits": [ 8 ],
  9646.           "attributes": {
  9647.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9648.           }
  9649.         },
  9650.         "DIA12": {
  9651.           "hide_name": 0,
  9652.           "bits": [ 7 ],
  9653.           "attributes": {
  9654.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9655.           }
  9656.         },
  9657.         "DIA13": {
  9658.           "hide_name": 0,
  9659.           "bits": [ 6 ],
  9660.           "attributes": {
  9661.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9662.           }
  9663.         },
  9664.         "DIA14": {
  9665.           "hide_name": 0,
  9666.           "bits": [ 5 ],
  9667.           "attributes": {
  9668.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9669.           }
  9670.         },
  9671.         "DIA15": {
  9672.           "hide_name": 0,
  9673.           "bits": [ 4 ],
  9674.           "attributes": {
  9675.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9676.           }
  9677.         },
  9678.         "DIA16": {
  9679.           "hide_name": 0,
  9680.           "bits": [ 3 ],
  9681.           "attributes": {
  9682.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9683.           }
  9684.         },
  9685.         "DIA17": {
  9686.           "hide_name": 0,
  9687.           "bits": [ 2 ],
  9688.           "attributes": {
  9689.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9690.           }
  9691.         },
  9692.         "DIA2": {
  9693.           "hide_name": 0,
  9694.           "bits": [ 17 ],
  9695.           "attributes": {
  9696.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9697.           }
  9698.         },
  9699.         "DIA3": {
  9700.           "hide_name": 0,
  9701.           "bits": [ 16 ],
  9702.           "attributes": {
  9703.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9704.           }
  9705.         },
  9706.         "DIA4": {
  9707.           "hide_name": 0,
  9708.           "bits": [ 15 ],
  9709.           "attributes": {
  9710.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9711.           }
  9712.         },
  9713.         "DIA5": {
  9714.           "hide_name": 0,
  9715.           "bits": [ 14 ],
  9716.           "attributes": {
  9717.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9718.           }
  9719.         },
  9720.         "DIA6": {
  9721.           "hide_name": 0,
  9722.           "bits": [ 13 ],
  9723.           "attributes": {
  9724.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9725.           }
  9726.         },
  9727.         "DIA7": {
  9728.           "hide_name": 0,
  9729.           "bits": [ 12 ],
  9730.           "attributes": {
  9731.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9732.           }
  9733.         },
  9734.         "DIA8": {
  9735.           "hide_name": 0,
  9736.           "bits": [ 11 ],
  9737.           "attributes": {
  9738.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9739.           }
  9740.         },
  9741.         "DIA9": {
  9742.           "hide_name": 0,
  9743.           "bits": [ 10 ],
  9744.           "attributes": {
  9745.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:438"
  9746.           }
  9747.         },
  9748.         "DIB0": {
  9749.           "hide_name": 0,
  9750.           "bits": [ 77 ],
  9751.           "attributes": {
  9752.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9753.           }
  9754.         },
  9755.         "DIB1": {
  9756.           "hide_name": 0,
  9757.           "bits": [ 76 ],
  9758.           "attributes": {
  9759.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9760.           }
  9761.         },
  9762.         "DIB10": {
  9763.           "hide_name": 0,
  9764.           "bits": [ 67 ],
  9765.           "attributes": {
  9766.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9767.           }
  9768.         },
  9769.         "DIB11": {
  9770.           "hide_name": 0,
  9771.           "bits": [ 66 ],
  9772.           "attributes": {
  9773.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9774.           }
  9775.         },
  9776.         "DIB12": {
  9777.           "hide_name": 0,
  9778.           "bits": [ 65 ],
  9779.           "attributes": {
  9780.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9781.           }
  9782.         },
  9783.         "DIB13": {
  9784.           "hide_name": 0,
  9785.           "bits": [ 64 ],
  9786.           "attributes": {
  9787.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9788.           }
  9789.         },
  9790.         "DIB14": {
  9791.           "hide_name": 0,
  9792.           "bits": [ 63 ],
  9793.           "attributes": {
  9794.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9795.           }
  9796.         },
  9797.         "DIB15": {
  9798.           "hide_name": 0,
  9799.           "bits": [ 62 ],
  9800.           "attributes": {
  9801.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9802.           }
  9803.         },
  9804.         "DIB16": {
  9805.           "hide_name": 0,
  9806.           "bits": [ 61 ],
  9807.           "attributes": {
  9808.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9809.           }
  9810.         },
  9811.         "DIB17": {
  9812.           "hide_name": 0,
  9813.           "bits": [ 60 ],
  9814.           "attributes": {
  9815.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9816.           }
  9817.         },
  9818.         "DIB2": {
  9819.           "hide_name": 0,
  9820.           "bits": [ 75 ],
  9821.           "attributes": {
  9822.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9823.           }
  9824.         },
  9825.         "DIB3": {
  9826.           "hide_name": 0,
  9827.           "bits": [ 74 ],
  9828.           "attributes": {
  9829.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9830.           }
  9831.         },
  9832.         "DIB4": {
  9833.           "hide_name": 0,
  9834.           "bits": [ 73 ],
  9835.           "attributes": {
  9836.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9837.           }
  9838.         },
  9839.         "DIB5": {
  9840.           "hide_name": 0,
  9841.           "bits": [ 72 ],
  9842.           "attributes": {
  9843.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9844.           }
  9845.         },
  9846.         "DIB6": {
  9847.           "hide_name": 0,
  9848.           "bits": [ 71 ],
  9849.           "attributes": {
  9850.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9851.           }
  9852.         },
  9853.         "DIB7": {
  9854.           "hide_name": 0,
  9855.           "bits": [ 70 ],
  9856.           "attributes": {
  9857.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9858.           }
  9859.         },
  9860.         "DIB8": {
  9861.           "hide_name": 0,
  9862.           "bits": [ 69 ],
  9863.           "attributes": {
  9864.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9865.           }
  9866.         },
  9867.         "DIB9": {
  9868.           "hide_name": 0,
  9869.           "bits": [ 68 ],
  9870.           "attributes": {
  9871.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:444"
  9872.           }
  9873.         },
  9874.         "DOA0": {
  9875.           "hide_name": 0,
  9876.           "bits": [ 59 ],
  9877.           "attributes": {
  9878.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9879.           }
  9880.         },
  9881.         "DOA1": {
  9882.           "hide_name": 0,
  9883.           "bits": [ 58 ],
  9884.           "attributes": {
  9885.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9886.           }
  9887.         },
  9888.         "DOA10": {
  9889.           "hide_name": 0,
  9890.           "bits": [ 49 ],
  9891.           "attributes": {
  9892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9893.           }
  9894.         },
  9895.         "DOA11": {
  9896.           "hide_name": 0,
  9897.           "bits": [ 48 ],
  9898.           "attributes": {
  9899.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9900.           }
  9901.         },
  9902.         "DOA12": {
  9903.           "hide_name": 0,
  9904.           "bits": [ 47 ],
  9905.           "attributes": {
  9906.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9907.           }
  9908.         },
  9909.         "DOA13": {
  9910.           "hide_name": 0,
  9911.           "bits": [ 46 ],
  9912.           "attributes": {
  9913.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9914.           }
  9915.         },
  9916.         "DOA14": {
  9917.           "hide_name": 0,
  9918.           "bits": [ 45 ],
  9919.           "attributes": {
  9920.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9921.           }
  9922.         },
  9923.         "DOA15": {
  9924.           "hide_name": 0,
  9925.           "bits": [ 44 ],
  9926.           "attributes": {
  9927.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9928.           }
  9929.         },
  9930.         "DOA16": {
  9931.           "hide_name": 0,
  9932.           "bits": [ 43 ],
  9933.           "attributes": {
  9934.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9935.           }
  9936.         },
  9937.         "DOA17": {
  9938.           "hide_name": 0,
  9939.           "bits": [ 42 ],
  9940.           "attributes": {
  9941.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9942.           }
  9943.         },
  9944.         "DOA2": {
  9945.           "hide_name": 0,
  9946.           "bits": [ 57 ],
  9947.           "attributes": {
  9948.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9949.           }
  9950.         },
  9951.         "DOA3": {
  9952.           "hide_name": 0,
  9953.           "bits": [ 56 ],
  9954.           "attributes": {
  9955.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9956.           }
  9957.         },
  9958.         "DOA4": {
  9959.           "hide_name": 0,
  9960.           "bits": [ 55 ],
  9961.           "attributes": {
  9962.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9963.           }
  9964.         },
  9965.         "DOA5": {
  9966.           "hide_name": 0,
  9967.           "bits": [ 54 ],
  9968.           "attributes": {
  9969.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9970.           }
  9971.         },
  9972.         "DOA6": {
  9973.           "hide_name": 0,
  9974.           "bits": [ 53 ],
  9975.           "attributes": {
  9976.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9977.           }
  9978.         },
  9979.         "DOA7": {
  9980.           "hide_name": 0,
  9981.           "bits": [ 52 ],
  9982.           "attributes": {
  9983.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9984.           }
  9985.         },
  9986.         "DOA8": {
  9987.           "hide_name": 0,
  9988.           "bits": [ 51 ],
  9989.           "attributes": {
  9990.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9991.           }
  9992.         },
  9993.         "DOA9": {
  9994.           "hide_name": 0,
  9995.           "bits": [ 50 ],
  9996.           "attributes": {
  9997.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:442"
  9998.           }
  9999.         },
  10000.         "DOB0": {
  10001.           "hide_name": 0,
  10002.           "bits": [ 117 ],
  10003.           "attributes": {
  10004.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10005.           }
  10006.         },
  10007.         "DOB1": {
  10008.           "hide_name": 0,
  10009.           "bits": [ 116 ],
  10010.           "attributes": {
  10011.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10012.           }
  10013.         },
  10014.         "DOB10": {
  10015.           "hide_name": 0,
  10016.           "bits": [ 107 ],
  10017.           "attributes": {
  10018.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10019.           }
  10020.         },
  10021.         "DOB11": {
  10022.           "hide_name": 0,
  10023.           "bits": [ 106 ],
  10024.           "attributes": {
  10025.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10026.           }
  10027.         },
  10028.         "DOB12": {
  10029.           "hide_name": 0,
  10030.           "bits": [ 105 ],
  10031.           "attributes": {
  10032.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10033.           }
  10034.         },
  10035.         "DOB13": {
  10036.           "hide_name": 0,
  10037.           "bits": [ 104 ],
  10038.           "attributes": {
  10039.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10040.           }
  10041.         },
  10042.         "DOB14": {
  10043.           "hide_name": 0,
  10044.           "bits": [ 103 ],
  10045.           "attributes": {
  10046.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10047.           }
  10048.         },
  10049.         "DOB15": {
  10050.           "hide_name": 0,
  10051.           "bits": [ 102 ],
  10052.           "attributes": {
  10053.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10054.           }
  10055.         },
  10056.         "DOB16": {
  10057.           "hide_name": 0,
  10058.           "bits": [ 101 ],
  10059.           "attributes": {
  10060.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10061.           }
  10062.         },
  10063.         "DOB17": {
  10064.           "hide_name": 0,
  10065.           "bits": [ 100 ],
  10066.           "attributes": {
  10067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10068.           }
  10069.         },
  10070.         "DOB2": {
  10071.           "hide_name": 0,
  10072.           "bits": [ 115 ],
  10073.           "attributes": {
  10074.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10075.           }
  10076.         },
  10077.         "DOB3": {
  10078.           "hide_name": 0,
  10079.           "bits": [ 114 ],
  10080.           "attributes": {
  10081.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10082.           }
  10083.         },
  10084.         "DOB4": {
  10085.           "hide_name": 0,
  10086.           "bits": [ 113 ],
  10087.           "attributes": {
  10088.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10089.           }
  10090.         },
  10091.         "DOB5": {
  10092.           "hide_name": 0,
  10093.           "bits": [ 112 ],
  10094.           "attributes": {
  10095.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10096.           }
  10097.         },
  10098.         "DOB6": {
  10099.           "hide_name": 0,
  10100.           "bits": [ 111 ],
  10101.           "attributes": {
  10102.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10103.           }
  10104.         },
  10105.         "DOB7": {
  10106.           "hide_name": 0,
  10107.           "bits": [ 110 ],
  10108.           "attributes": {
  10109.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10110.           }
  10111.         },
  10112.         "DOB8": {
  10113.           "hide_name": 0,
  10114.           "bits": [ 109 ],
  10115.           "attributes": {
  10116.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10117.           }
  10118.         },
  10119.         "DOB9": {
  10120.           "hide_name": 0,
  10121.           "bits": [ 108 ],
  10122.           "attributes": {
  10123.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:448"
  10124.           }
  10125.         },
  10126.         "OCEA": {
  10127.           "hide_name": 0,
  10128.           "bits": [ 35 ],
  10129.           "attributes": {
  10130.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:440"
  10131.           }
  10132.         },
  10133.         "OCEB": {
  10134.           "hide_name": 0,
  10135.           "bits": [ 93 ],
  10136.           "attributes": {
  10137.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446"
  10138.           }
  10139.         },
  10140.         "RSTA": {
  10141.           "hide_name": 0,
  10142.           "bits": [ 38 ],
  10143.           "attributes": {
  10144.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:440"
  10145.           }
  10146.         },
  10147.         "RSTB": {
  10148.           "hide_name": 0,
  10149.           "bits": [ 96 ],
  10150.           "attributes": {
  10151.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446"
  10152.           }
  10153.         },
  10154.         "WEA": {
  10155.           "hide_name": 0,
  10156.           "bits": [ 37 ],
  10157.           "attributes": {
  10158.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:440"
  10159.           }
  10160.         },
  10161.         "WEB": {
  10162.           "hide_name": 0,
  10163.           "bits": [ 95 ],
  10164.           "attributes": {
  10165.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:446"
  10166.           }
  10167.         }
  10168.       }
  10169.     },
  10170.     "DPR16X4C": {
  10171.       "attributes": {
  10172.         "blackbox": 1,
  10173.         "cells_not_processed": 1,
  10174.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:139"
  10175.       },
  10176.       "ports": {
  10177.         "DI": {
  10178.           "direction": "input",
  10179.           "bits": [ 2, 3, 4, 5 ]
  10180.         },
  10181.         "WCK": {
  10182.           "direction": "input",
  10183.           "bits": [ 6 ]
  10184.         },
  10185.         "WRE": {
  10186.           "direction": "input",
  10187.           "bits": [ 7 ]
  10188.         },
  10189.         "RAD": {
  10190.           "direction": "input",
  10191.           "bits": [ 8, 9, 10, 11 ]
  10192.         },
  10193.         "WAD": {
  10194.           "direction": "input",
  10195.           "bits": [ 12, 13, 14, 15 ]
  10196.         },
  10197.         "DO": {
  10198.           "direction": "output",
  10199.           "bits": [ 16, 17, 18, 19 ]
  10200.         }
  10201.       },
  10202.       "cells": {
  10203.       },
  10204.       "netnames": {
  10205.         "DI": {
  10206.           "hide_name": 0,
  10207.           "bits": [ 2, 3, 4, 5 ],
  10208.           "attributes": {
  10209.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:140"
  10210.           }
  10211.         },
  10212.         "DO": {
  10213.           "hide_name": 0,
  10214.           "bits": [ 16, 17, 18, 19 ],
  10215.           "attributes": {
  10216.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:144"
  10217.           }
  10218.         },
  10219.         "RAD": {
  10220.           "hide_name": 0,
  10221.           "bits": [ 8, 9, 10, 11 ],
  10222.           "attributes": {
  10223.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:142"
  10224.           }
  10225.         },
  10226.         "WAD": {
  10227.           "hide_name": 0,
  10228.           "bits": [ 12, 13, 14, 15 ],
  10229.           "attributes": {
  10230.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:143"
  10231.           }
  10232.         },
  10233.         "WCK": {
  10234.           "hide_name": 0,
  10235.           "bits": [ 6 ],
  10236.           "attributes": {
  10237.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141"
  10238.           }
  10239.         },
  10240.         "WRE": {
  10241.           "hide_name": 0,
  10242.           "bits": [ 7 ],
  10243.           "attributes": {
  10244.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:141"
  10245.           }
  10246.         }
  10247.       }
  10248.     },
  10249.     "DQSBUFM": {
  10250.       "attributes": {
  10251.         "blackbox": 1,
  10252.         "cells_not_processed": 1,
  10253.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:294"
  10254.       },
  10255.       "ports": {
  10256.         "DQSI": {
  10257.           "direction": "input",
  10258.           "bits": [ 2 ]
  10259.         },
  10260.         "READ1": {
  10261.           "direction": "input",
  10262.           "bits": [ 3 ]
  10263.         },
  10264.         "READ0": {
  10265.           "direction": "input",
  10266.           "bits": [ 4 ]
  10267.         },
  10268.         "READCLKSEL2": {
  10269.           "direction": "input",
  10270.           "bits": [ 5 ]
  10271.         },
  10272.         "READCLKSEL1": {
  10273.           "direction": "input",
  10274.           "bits": [ 6 ]
  10275.         },
  10276.         "READCLKSEL0": {
  10277.           "direction": "input",
  10278.           "bits": [ 7 ]
  10279.         },
  10280.         "DDRDEL": {
  10281.           "direction": "input",
  10282.           "bits": [ 8 ]
  10283.         },
  10284.         "ECLK": {
  10285.           "direction": "input",
  10286.           "bits": [ 9 ]
  10287.         },
  10288.         "SCLK": {
  10289.           "direction": "input",
  10290.           "bits": [ 10 ]
  10291.         },
  10292.         "DYNDELAY7": {
  10293.           "direction": "input",
  10294.           "bits": [ 11 ]
  10295.         },
  10296.         "DYNDELAY6": {
  10297.           "direction": "input",
  10298.           "bits": [ 12 ]
  10299.         },
  10300.         "DYNDELAY5": {
  10301.           "direction": "input",
  10302.           "bits": [ 13 ]
  10303.         },
  10304.         "DYNDELAY4": {
  10305.           "direction": "input",
  10306.           "bits": [ 14 ]
  10307.         },
  10308.         "DYNDELAY3": {
  10309.           "direction": "input",
  10310.           "bits": [ 15 ]
  10311.         },
  10312.         "DYNDELAY2": {
  10313.           "direction": "input",
  10314.           "bits": [ 16 ]
  10315.         },
  10316.         "DYNDELAY1": {
  10317.           "direction": "input",
  10318.           "bits": [ 17 ]
  10319.         },
  10320.         "DYNDELAY0": {
  10321.           "direction": "input",
  10322.           "bits": [ 18 ]
  10323.         },
  10324.         "RST": {
  10325.           "direction": "input",
  10326.           "bits": [ 19 ]
  10327.         },
  10328.         "RDLOADN": {
  10329.           "direction": "input",
  10330.           "bits": [ 20 ]
  10331.         },
  10332.         "RDMOVE": {
  10333.           "direction": "input",
  10334.           "bits": [ 21 ]
  10335.         },
  10336.         "RDDIRECTION": {
  10337.           "direction": "input",
  10338.           "bits": [ 22 ]
  10339.         },
  10340.         "WRLOADN": {
  10341.           "direction": "input",
  10342.           "bits": [ 23 ]
  10343.         },
  10344.         "WRMOVE": {
  10345.           "direction": "input",
  10346.           "bits": [ 24 ]
  10347.         },
  10348.         "WRDIRECTION": {
  10349.           "direction": "input",
  10350.           "bits": [ 25 ]
  10351.         },
  10352.         "PAUSE": {
  10353.           "direction": "input",
  10354.           "bits": [ 26 ]
  10355.         },
  10356.         "DQSR90": {
  10357.           "direction": "output",
  10358.           "bits": [ 27 ]
  10359.         },
  10360.         "DQSW": {
  10361.           "direction": "output",
  10362.           "bits": [ 28 ]
  10363.         },
  10364.         "DQSW270": {
  10365.           "direction": "output",
  10366.           "bits": [ 29 ]
  10367.         },
  10368.         "RDPNTR2": {
  10369.           "direction": "output",
  10370.           "bits": [ 30 ]
  10371.         },
  10372.         "RDPNTR1": {
  10373.           "direction": "output",
  10374.           "bits": [ 31 ]
  10375.         },
  10376.         "RDPNTR0": {
  10377.           "direction": "output",
  10378.           "bits": [ 32 ]
  10379.         },
  10380.         "WRPNTR2": {
  10381.           "direction": "output",
  10382.           "bits": [ 33 ]
  10383.         },
  10384.         "WRPNTR1": {
  10385.           "direction": "output",
  10386.           "bits": [ 34 ]
  10387.         },
  10388.         "WRPNTR0": {
  10389.           "direction": "output",
  10390.           "bits": [ 35 ]
  10391.         },
  10392.         "DATAVALID": {
  10393.           "direction": "output",
  10394.           "bits": [ 36 ]
  10395.         },
  10396.         "BURSTDET": {
  10397.           "direction": "output",
  10398.           "bits": [ 37 ]
  10399.         },
  10400.         "RDCFLAG": {
  10401.           "direction": "output",
  10402.           "bits": [ 38 ]
  10403.         },
  10404.         "WRCFLAG": {
  10405.           "direction": "output",
  10406.           "bits": [ 39 ]
  10407.         }
  10408.       },
  10409.       "cells": {
  10410.       },
  10411.       "netnames": {
  10412.         "BURSTDET": {
  10413.           "hide_name": 0,
  10414.           "bits": [ 37 ],
  10415.           "attributes": {
  10416.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302"
  10417.           }
  10418.         },
  10419.         "DATAVALID": {
  10420.           "hide_name": 0,
  10421.           "bits": [ 36 ],
  10422.           "attributes": {
  10423.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302"
  10424.           }
  10425.         },
  10426.         "DDRDEL": {
  10427.           "hide_name": 0,
  10428.           "bits": [ 8 ],
  10429.           "attributes": {
  10430.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10431.           }
  10432.         },
  10433.         "DQSI": {
  10434.           "hide_name": 0,
  10435.           "bits": [ 2 ],
  10436.           "attributes": {
  10437.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10438.           }
  10439.         },
  10440.         "DQSR90": {
  10441.           "hide_name": 0,
  10442.           "bits": [ 27 ],
  10443.           "attributes": {
  10444.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300"
  10445.           }
  10446.         },
  10447.         "DQSW": {
  10448.           "hide_name": 0,
  10449.           "bits": [ 28 ],
  10450.           "attributes": {
  10451.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300"
  10452.           }
  10453.         },
  10454.         "DQSW270": {
  10455.           "hide_name": 0,
  10456.           "bits": [ 29 ],
  10457.           "attributes": {
  10458.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:300"
  10459.           }
  10460.         },
  10461.         "DYNDELAY0": {
  10462.           "hide_name": 0,
  10463.           "bits": [ 18 ],
  10464.           "attributes": {
  10465.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298"
  10466.           }
  10467.         },
  10468.         "DYNDELAY1": {
  10469.           "hide_name": 0,
  10470.           "bits": [ 17 ],
  10471.           "attributes": {
  10472.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298"
  10473.           }
  10474.         },
  10475.         "DYNDELAY2": {
  10476.           "hide_name": 0,
  10477.           "bits": [ 16 ],
  10478.           "attributes": {
  10479.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298"
  10480.           }
  10481.         },
  10482.         "DYNDELAY3": {
  10483.           "hide_name": 0,
  10484.           "bits": [ 15 ],
  10485.           "attributes": {
  10486.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:298"
  10487.           }
  10488.         },
  10489.         "DYNDELAY4": {
  10490.           "hide_name": 0,
  10491.           "bits": [ 14 ],
  10492.           "attributes": {
  10493.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297"
  10494.           }
  10495.         },
  10496.         "DYNDELAY5": {
  10497.           "hide_name": 0,
  10498.           "bits": [ 13 ],
  10499.           "attributes": {
  10500.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297"
  10501.           }
  10502.         },
  10503.         "DYNDELAY6": {
  10504.           "hide_name": 0,
  10505.           "bits": [ 12 ],
  10506.           "attributes": {
  10507.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297"
  10508.           }
  10509.         },
  10510.         "DYNDELAY7": {
  10511.           "hide_name": 0,
  10512.           "bits": [ 11 ],
  10513.           "attributes": {
  10514.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:297"
  10515.           }
  10516.         },
  10517.         "ECLK": {
  10518.           "hide_name": 0,
  10519.           "bits": [ 9 ],
  10520.           "attributes": {
  10521.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:296"
  10522.           }
  10523.         },
  10524.         "PAUSE": {
  10525.           "hide_name": 0,
  10526.           "bits": [ 26 ],
  10527.           "attributes": {
  10528.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10529.           }
  10530.         },
  10531.         "RDCFLAG": {
  10532.           "hide_name": 0,
  10533.           "bits": [ 38 ],
  10534.           "attributes": {
  10535.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302"
  10536.           }
  10537.         },
  10538.         "RDDIRECTION": {
  10539.           "hide_name": 0,
  10540.           "bits": [ 22 ],
  10541.           "attributes": {
  10542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10543.           }
  10544.         },
  10545.         "RDLOADN": {
  10546.           "hide_name": 0,
  10547.           "bits": [ 20 ],
  10548.           "attributes": {
  10549.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10550.           }
  10551.         },
  10552.         "RDMOVE": {
  10553.           "hide_name": 0,
  10554.           "bits": [ 21 ],
  10555.           "attributes": {
  10556.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10557.           }
  10558.         },
  10559.         "RDPNTR0": {
  10560.           "hide_name": 0,
  10561.           "bits": [ 32 ],
  10562.           "attributes": {
  10563.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10564.           }
  10565.         },
  10566.         "RDPNTR1": {
  10567.           "hide_name": 0,
  10568.           "bits": [ 31 ],
  10569.           "attributes": {
  10570.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10571.           }
  10572.         },
  10573.         "RDPNTR2": {
  10574.           "hide_name": 0,
  10575.           "bits": [ 30 ],
  10576.           "attributes": {
  10577.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10578.           }
  10579.         },
  10580.         "READ0": {
  10581.           "hide_name": 0,
  10582.           "bits": [ 4 ],
  10583.           "attributes": {
  10584.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10585.           }
  10586.         },
  10587.         "READ1": {
  10588.           "hide_name": 0,
  10589.           "bits": [ 3 ],
  10590.           "attributes": {
  10591.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10592.           }
  10593.         },
  10594.         "READCLKSEL0": {
  10595.           "hide_name": 0,
  10596.           "bits": [ 7 ],
  10597.           "attributes": {
  10598.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10599.           }
  10600.         },
  10601.         "READCLKSEL1": {
  10602.           "hide_name": 0,
  10603.           "bits": [ 6 ],
  10604.           "attributes": {
  10605.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10606.           }
  10607.         },
  10608.         "READCLKSEL2": {
  10609.           "hide_name": 0,
  10610.           "bits": [ 5 ],
  10611.           "attributes": {
  10612.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:295"
  10613.           }
  10614.         },
  10615.         "RST": {
  10616.           "hide_name": 0,
  10617.           "bits": [ 19 ],
  10618.           "attributes": {
  10619.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10620.           }
  10621.         },
  10622.         "SCLK": {
  10623.           "hide_name": 0,
  10624.           "bits": [ 10 ],
  10625.           "attributes": {
  10626.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:296"
  10627.           }
  10628.         },
  10629.         "WRCFLAG": {
  10630.           "hide_name": 0,
  10631.           "bits": [ 39 ],
  10632.           "attributes": {
  10633.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:302"
  10634.           }
  10635.         },
  10636.         "WRDIRECTION": {
  10637.           "hide_name": 0,
  10638.           "bits": [ 25 ],
  10639.           "attributes": {
  10640.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10641.           }
  10642.         },
  10643.         "WRLOADN": {
  10644.           "hide_name": 0,
  10645.           "bits": [ 23 ],
  10646.           "attributes": {
  10647.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10648.           }
  10649.         },
  10650.         "WRMOVE": {
  10651.           "hide_name": 0,
  10652.           "bits": [ 24 ],
  10653.           "attributes": {
  10654.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:299"
  10655.           }
  10656.         },
  10657.         "WRPNTR0": {
  10658.           "hide_name": 0,
  10659.           "bits": [ 35 ],
  10660.           "attributes": {
  10661.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10662.           }
  10663.         },
  10664.         "WRPNTR1": {
  10665.           "hide_name": 0,
  10666.           "bits": [ 34 ],
  10667.           "attributes": {
  10668.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10669.           }
  10670.         },
  10671.         "WRPNTR2": {
  10672.           "hide_name": 0,
  10673.           "bits": [ 33 ],
  10674.           "attributes": {
  10675.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:301"
  10676.           }
  10677.         }
  10678.       }
  10679.     },
  10680.     "DTR": {
  10681.       "attributes": {
  10682.         "blackbox": 1,
  10683.         "cells_not_processed": 1,
  10684.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:146"
  10685.       },
  10686.       "ports": {
  10687.         "STARTPULSE": {
  10688.           "direction": "input",
  10689.           "bits": [ 2 ]
  10690.         },
  10691.         "DTROUT7": {
  10692.           "direction": "output",
  10693.           "bits": [ 3 ]
  10694.         },
  10695.         "DTROUT6": {
  10696.           "direction": "output",
  10697.           "bits": [ 4 ]
  10698.         },
  10699.         "DTROUT5": {
  10700.           "direction": "output",
  10701.           "bits": [ 5 ]
  10702.         },
  10703.         "DTROUT4": {
  10704.           "direction": "output",
  10705.           "bits": [ 6 ]
  10706.         },
  10707.         "DTROUT3": {
  10708.           "direction": "output",
  10709.           "bits": [ 7 ]
  10710.         },
  10711.         "DTROUT2": {
  10712.           "direction": "output",
  10713.           "bits": [ 8 ]
  10714.         },
  10715.         "DTROUT1": {
  10716.           "direction": "output",
  10717.           "bits": [ 9 ]
  10718.         },
  10719.         "DTROUT0": {
  10720.           "direction": "output",
  10721.           "bits": [ 10 ]
  10722.         }
  10723.       },
  10724.       "cells": {
  10725.       },
  10726.       "netnames": {
  10727.         "DTROUT0": {
  10728.           "hide_name": 0,
  10729.           "bits": [ 10 ],
  10730.           "attributes": {
  10731.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10732.           }
  10733.         },
  10734.         "DTROUT1": {
  10735.           "hide_name": 0,
  10736.           "bits": [ 9 ],
  10737.           "attributes": {
  10738.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10739.           }
  10740.         },
  10741.         "DTROUT2": {
  10742.           "hide_name": 0,
  10743.           "bits": [ 8 ],
  10744.           "attributes": {
  10745.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10746.           }
  10747.         },
  10748.         "DTROUT3": {
  10749.           "hide_name": 0,
  10750.           "bits": [ 7 ],
  10751.           "attributes": {
  10752.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10753.           }
  10754.         },
  10755.         "DTROUT4": {
  10756.           "hide_name": 0,
  10757.           "bits": [ 6 ],
  10758.           "attributes": {
  10759.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10760.           }
  10761.         },
  10762.         "DTROUT5": {
  10763.           "hide_name": 0,
  10764.           "bits": [ 5 ],
  10765.           "attributes": {
  10766.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10767.           }
  10768.         },
  10769.         "DTROUT6": {
  10770.           "hide_name": 0,
  10771.           "bits": [ 4 ],
  10772.           "attributes": {
  10773.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10774.           }
  10775.         },
  10776.         "DTROUT7": {
  10777.           "hide_name": 0,
  10778.           "bits": [ 3 ],
  10779.           "attributes": {
  10780.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:148"
  10781.           }
  10782.         },
  10783.         "STARTPULSE": {
  10784.           "hide_name": 0,
  10785.           "bits": [ 2 ],
  10786.           "attributes": {
  10787.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:147"
  10788.           }
  10789.         }
  10790.       }
  10791.     },
  10792.     "ECLKSYNCB": {
  10793.       "attributes": {
  10794.         "blackbox": 1,
  10795.         "cells_not_processed": 1,
  10796.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:330"
  10797.       },
  10798.       "ports": {
  10799.         "ECLKI": {
  10800.           "direction": "input",
  10801.           "bits": [ 2 ]
  10802.         },
  10803.         "STOP": {
  10804.           "direction": "input",
  10805.           "bits": [ 3 ]
  10806.         },
  10807.         "ECLKO": {
  10808.           "direction": "output",
  10809.           "bits": [ 4 ]
  10810.         }
  10811.       },
  10812.       "cells": {
  10813.       },
  10814.       "netnames": {
  10815.         "ECLKI": {
  10816.           "hide_name": 0,
  10817.           "bits": [ 2 ],
  10818.           "attributes": {
  10819.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:331"
  10820.           }
  10821.         },
  10822.         "ECLKO": {
  10823.           "hide_name": 0,
  10824.           "bits": [ 4 ],
  10825.           "attributes": {
  10826.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:332"
  10827.           }
  10828.         },
  10829.         "STOP": {
  10830.           "hide_name": 0,
  10831.           "bits": [ 3 ],
  10832.           "attributes": {
  10833.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:331"
  10834.           }
  10835.         }
  10836.       }
  10837.     },
  10838.     "EHXPLLL": {
  10839.       "attributes": {
  10840.         "blackbox": 1,
  10841.         "cells_not_processed": 1,
  10842.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:98"
  10843.       },
  10844.       "ports": {
  10845.         "CLKI": {
  10846.           "direction": "input",
  10847.           "bits": [ 2 ]
  10848.         },
  10849.         "CLKFB": {
  10850.           "direction": "input",
  10851.           "bits": [ 3 ]
  10852.         },
  10853.         "PHASESEL1": {
  10854.           "direction": "input",
  10855.           "bits": [ 4 ]
  10856.         },
  10857.         "PHASESEL0": {
  10858.           "direction": "input",
  10859.           "bits": [ 5 ]
  10860.         },
  10861.         "PHASEDIR": {
  10862.           "direction": "input",
  10863.           "bits": [ 6 ]
  10864.         },
  10865.         "PHASESTEP": {
  10866.           "direction": "input",
  10867.           "bits": [ 7 ]
  10868.         },
  10869.         "PHASELOADREG": {
  10870.           "direction": "input",
  10871.           "bits": [ 8 ]
  10872.         },
  10873.         "STDBY": {
  10874.           "direction": "input",
  10875.           "bits": [ 9 ]
  10876.         },
  10877.         "PLLWAKESYNC": {
  10878.           "direction": "input",
  10879.           "bits": [ 10 ]
  10880.         },
  10881.         "RST": {
  10882.           "direction": "input",
  10883.           "bits": [ 11 ]
  10884.         },
  10885.         "ENCLKOP": {
  10886.           "direction": "input",
  10887.           "bits": [ 12 ]
  10888.         },
  10889.         "ENCLKOS": {
  10890.           "direction": "input",
  10891.           "bits": [ 13 ]
  10892.         },
  10893.         "ENCLKOS2": {
  10894.           "direction": "input",
  10895.           "bits": [ 14 ]
  10896.         },
  10897.         "ENCLKOS3": {
  10898.           "direction": "input",
  10899.           "bits": [ 15 ]
  10900.         },
  10901.         "CLKOP": {
  10902.           "direction": "output",
  10903.           "bits": [ 16 ]
  10904.         },
  10905.         "CLKOS": {
  10906.           "direction": "output",
  10907.           "bits": [ 17 ]
  10908.         },
  10909.         "CLKOS2": {
  10910.           "direction": "output",
  10911.           "bits": [ 18 ]
  10912.         },
  10913.         "CLKOS3": {
  10914.           "direction": "output",
  10915.           "bits": [ 19 ]
  10916.         },
  10917.         "LOCK": {
  10918.           "direction": "output",
  10919.           "bits": [ 20 ]
  10920.         },
  10921.         "INTLOCK": {
  10922.           "direction": "output",
  10923.           "bits": [ 21 ]
  10924.         },
  10925.         "REFCLK": {
  10926.           "direction": "output",
  10927.           "bits": [ 22 ]
  10928.         },
  10929.         "CLKINTFB": {
  10930.           "direction": "output",
  10931.           "bits": [ 23 ]
  10932.         }
  10933.       },
  10934.       "cells": {
  10935.       },
  10936.       "netnames": {
  10937.         "CLKFB": {
  10938.           "hide_name": 0,
  10939.           "bits": [ 3 ],
  10940.           "attributes": {
  10941.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99"
  10942.           }
  10943.         },
  10944.         "CLKI": {
  10945.           "hide_name": 0,
  10946.           "bits": [ 2 ],
  10947.           "attributes": {
  10948.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:99"
  10949.           }
  10950.         },
  10951.         "CLKINTFB": {
  10952.           "hide_name": 0,
  10953.           "bits": [ 23 ],
  10954.           "attributes": {
  10955.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105"
  10956.           }
  10957.         },
  10958.         "CLKOP": {
  10959.           "hide_name": 0,
  10960.           "bits": [ 16 ],
  10961.           "attributes": {
  10962.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103"
  10963.           }
  10964.         },
  10965.         "CLKOS": {
  10966.           "hide_name": 0,
  10967.           "bits": [ 17 ],
  10968.           "attributes": {
  10969.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103"
  10970.           }
  10971.         },
  10972.         "CLKOS2": {
  10973.           "hide_name": 0,
  10974.           "bits": [ 18 ],
  10975.           "attributes": {
  10976.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103"
  10977.           }
  10978.         },
  10979.         "CLKOS3": {
  10980.           "hide_name": 0,
  10981.           "bits": [ 19 ],
  10982.           "attributes": {
  10983.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:103"
  10984.           }
  10985.         },
  10986.         "ENCLKOP": {
  10987.           "hide_name": 0,
  10988.           "bits": [ 12 ],
  10989.           "attributes": {
  10990.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102"
  10991.           }
  10992.         },
  10993.         "ENCLKOS": {
  10994.           "hide_name": 0,
  10995.           "bits": [ 13 ],
  10996.           "attributes": {
  10997.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102"
  10998.           }
  10999.         },
  11000.         "ENCLKOS2": {
  11001.           "hide_name": 0,
  11002.           "bits": [ 14 ],
  11003.           "attributes": {
  11004.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102"
  11005.           }
  11006.         },
  11007.         "ENCLKOS3": {
  11008.           "hide_name": 0,
  11009.           "bits": [ 15 ],
  11010.           "attributes": {
  11011.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102"
  11012.           }
  11013.         },
  11014.         "INTLOCK": {
  11015.           "hide_name": 0,
  11016.           "bits": [ 21 ],
  11017.           "attributes": {
  11018.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104"
  11019.           }
  11020.         },
  11021.         "LOCK": {
  11022.           "hide_name": 0,
  11023.           "bits": [ 20 ],
  11024.           "attributes": {
  11025.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:104"
  11026.           }
  11027.         },
  11028.         "PHASEDIR": {
  11029.           "hide_name": 0,
  11030.           "bits": [ 6 ],
  11031.           "attributes": {
  11032.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100"
  11033.           }
  11034.         },
  11035.         "PHASELOADREG": {
  11036.           "hide_name": 0,
  11037.           "bits": [ 8 ],
  11038.           "attributes": {
  11039.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100"
  11040.           }
  11041.         },
  11042.         "PHASESEL0": {
  11043.           "hide_name": 0,
  11044.           "bits": [ 5 ],
  11045.           "attributes": {
  11046.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100"
  11047.           }
  11048.         },
  11049.         "PHASESEL1": {
  11050.           "hide_name": 0,
  11051.           "bits": [ 4 ],
  11052.           "attributes": {
  11053.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100"
  11054.           }
  11055.         },
  11056.         "PHASESTEP": {
  11057.           "hide_name": 0,
  11058.           "bits": [ 7 ],
  11059.           "attributes": {
  11060.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:100"
  11061.           }
  11062.         },
  11063.         "PLLWAKESYNC": {
  11064.           "hide_name": 0,
  11065.           "bits": [ 10 ],
  11066.           "attributes": {
  11067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101"
  11068.           }
  11069.         },
  11070.         "REFCLK": {
  11071.           "hide_name": 0,
  11072.           "bits": [ 22 ],
  11073.           "attributes": {
  11074.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:105"
  11075.           }
  11076.         },
  11077.         "RST": {
  11078.           "hide_name": 0,
  11079.           "bits": [ 11 ],
  11080.           "attributes": {
  11081.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:102"
  11082.           }
  11083.         },
  11084.         "STDBY": {
  11085.           "hide_name": 0,
  11086.           "bits": [ 9 ],
  11087.           "attributes": {
  11088.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:101"
  11089.           }
  11090.         }
  11091.       }
  11092.     },
  11093.     "EXTREFB": {
  11094.       "attributes": {
  11095.         "blackbox": 1,
  11096.         "cells_not_processed": 1,
  11097.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:651"
  11098.       },
  11099.       "ports": {
  11100.         "REFCLKP": {
  11101.           "direction": "input",
  11102.           "bits": [ 2 ]
  11103.         },
  11104.         "REFCLKN": {
  11105.           "direction": "input",
  11106.           "bits": [ 3 ]
  11107.         },
  11108.         "REFCLKO": {
  11109.           "direction": "output",
  11110.           "bits": [ 4 ]
  11111.         }
  11112.       },
  11113.       "cells": {
  11114.       },
  11115.       "netnames": {
  11116.         "REFCLKN": {
  11117.           "hide_name": 0,
  11118.           "bits": [ 3 ],
  11119.           "attributes": {
  11120.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:652"
  11121.           }
  11122.         },
  11123.         "REFCLKO": {
  11124.           "hide_name": 0,
  11125.           "bits": [ 4 ],
  11126.           "attributes": {
  11127.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:653"
  11128.           }
  11129.         },
  11130.         "REFCLKP": {
  11131.           "hide_name": 0,
  11132.           "bits": [ 2 ],
  11133.           "attributes": {
  11134.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:652"
  11135.           }
  11136.         }
  11137.       }
  11138.     },
  11139.     "FD1S3BX": {
  11140.       "attributes": {
  11141.         "blackbox": 1,
  11142.         "cells_not_processed": 1,
  11143.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:545"
  11144.       },
  11145.       "ports": {
  11146.         "PD": {
  11147.           "direction": "input",
  11148.           "bits": [ 2 ]
  11149.         },
  11150.         "D": {
  11151.           "direction": "input",
  11152.           "bits": [ 3 ]
  11153.         },
  11154.         "CK": {
  11155.           "direction": "input",
  11156.           "bits": [ 4 ]
  11157.         },
  11158.         "Q": {
  11159.           "direction": "output",
  11160.           "bits": [ 5 ]
  11161.         }
  11162.       },
  11163.       "cells": {
  11164.       },
  11165.       "netnames": {
  11166.         "CK": {
  11167.           "hide_name": 0,
  11168.           "bits": [ 4 ],
  11169.           "attributes": {
  11170.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:545"
  11171.           }
  11172.         },
  11173.         "D": {
  11174.           "hide_name": 0,
  11175.           "bits": [ 3 ],
  11176.           "attributes": {
  11177.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:545"
  11178.           }
  11179.         },
  11180.         "PD": {
  11181.           "hide_name": 0,
  11182.           "bits": [ 2 ],
  11183.           "attributes": {
  11184.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:545"
  11185.           }
  11186.         },
  11187.         "Q": {
  11188.           "hide_name": 0,
  11189.           "bits": [ 5 ],
  11190.           "attributes": {
  11191.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:545"
  11192.           }
  11193.         }
  11194.       }
  11195.     },
  11196.     "FD1S3DX": {
  11197.       "attributes": {
  11198.         "blackbox": 1,
  11199.         "cells_not_processed": 1,
  11200.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:546"
  11201.       },
  11202.       "ports": {
  11203.         "CD": {
  11204.           "direction": "input",
  11205.           "bits": [ 2 ]
  11206.         },
  11207.         "D": {
  11208.           "direction": "input",
  11209.           "bits": [ 3 ]
  11210.         },
  11211.         "CK": {
  11212.           "direction": "input",
  11213.           "bits": [ 4 ]
  11214.         },
  11215.         "Q": {
  11216.           "direction": "output",
  11217.           "bits": [ 5 ]
  11218.         }
  11219.       },
  11220.       "cells": {
  11221.       },
  11222.       "netnames": {
  11223.         "CD": {
  11224.           "hide_name": 0,
  11225.           "bits": [ 2 ],
  11226.           "attributes": {
  11227.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:546"
  11228.           }
  11229.         },
  11230.         "CK": {
  11231.           "hide_name": 0,
  11232.           "bits": [ 4 ],
  11233.           "attributes": {
  11234.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:546"
  11235.           }
  11236.         },
  11237.         "D": {
  11238.           "hide_name": 0,
  11239.           "bits": [ 3 ],
  11240.           "attributes": {
  11241.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:546"
  11242.           }
  11243.         },
  11244.         "Q": {
  11245.           "hide_name": 0,
  11246.           "bits": [ 5 ],
  11247.           "attributes": {
  11248.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:546"
  11249.           }
  11250.         }
  11251.       }
  11252.     },
  11253.     "FD1S3IX": {
  11254.       "attributes": {
  11255.         "blackbox": 1,
  11256.         "cells_not_processed": 1,
  11257.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:547"
  11258.       },
  11259.       "ports": {
  11260.         "CD": {
  11261.           "direction": "input",
  11262.           "bits": [ 2 ]
  11263.         },
  11264.         "D": {
  11265.           "direction": "input",
  11266.           "bits": [ 3 ]
  11267.         },
  11268.         "CK": {
  11269.           "direction": "input",
  11270.           "bits": [ 4 ]
  11271.         },
  11272.         "Q": {
  11273.           "direction": "output",
  11274.           "bits": [ 5 ]
  11275.         }
  11276.       },
  11277.       "cells": {
  11278.       },
  11279.       "netnames": {
  11280.         "CD": {
  11281.           "hide_name": 0,
  11282.           "bits": [ 2 ],
  11283.           "attributes": {
  11284.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:547"
  11285.           }
  11286.         },
  11287.         "CK": {
  11288.           "hide_name": 0,
  11289.           "bits": [ 4 ],
  11290.           "attributes": {
  11291.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:547"
  11292.           }
  11293.         },
  11294.         "D": {
  11295.           "hide_name": 0,
  11296.           "bits": [ 3 ],
  11297.           "attributes": {
  11298.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:547"
  11299.           }
  11300.         },
  11301.         "Q": {
  11302.           "hide_name": 0,
  11303.           "bits": [ 5 ],
  11304.           "attributes": {
  11305.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:547"
  11306.           }
  11307.         }
  11308.       }
  11309.     },
  11310.     "FD1S3JX": {
  11311.       "attributes": {
  11312.         "blackbox": 1,
  11313.         "cells_not_processed": 1,
  11314.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:548"
  11315.       },
  11316.       "ports": {
  11317.         "PD": {
  11318.           "direction": "input",
  11319.           "bits": [ 2 ]
  11320.         },
  11321.         "D": {
  11322.           "direction": "input",
  11323.           "bits": [ 3 ]
  11324.         },
  11325.         "CK": {
  11326.           "direction": "input",
  11327.           "bits": [ 4 ]
  11328.         },
  11329.         "Q": {
  11330.           "direction": "output",
  11331.           "bits": [ 5 ]
  11332.         }
  11333.       },
  11334.       "cells": {
  11335.       },
  11336.       "netnames": {
  11337.         "CK": {
  11338.           "hide_name": 0,
  11339.           "bits": [ 4 ],
  11340.           "attributes": {
  11341.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:548"
  11342.           }
  11343.         },
  11344.         "D": {
  11345.           "hide_name": 0,
  11346.           "bits": [ 3 ],
  11347.           "attributes": {
  11348.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:548"
  11349.           }
  11350.         },
  11351.         "PD": {
  11352.           "hide_name": 0,
  11353.           "bits": [ 2 ],
  11354.           "attributes": {
  11355.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:548"
  11356.           }
  11357.         },
  11358.         "Q": {
  11359.           "hide_name": 0,
  11360.           "bits": [ 5 ],
  11361.           "attributes": {
  11362.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:548"
  11363.           }
  11364.         }
  11365.       }
  11366.     },
  11367.     "IB": {
  11368.       "attributes": {
  11369.         "blackbox": 1,
  11370.         "cells_not_processed": 1,
  11371.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:559"
  11372.       },
  11373.       "ports": {
  11374.         "I": {
  11375.           "direction": "input",
  11376.           "bits": [ 2 ]
  11377.         },
  11378.         "O": {
  11379.           "direction": "output",
  11380.           "bits": [ 3 ]
  11381.         }
  11382.       },
  11383.       "cells": {
  11384.       },
  11385.       "netnames": {
  11386.         "I": {
  11387.           "hide_name": 0,
  11388.           "bits": [ 2 ],
  11389.           "attributes": {
  11390.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:559"
  11391.           }
  11392.         },
  11393.         "O": {
  11394.           "hide_name": 0,
  11395.           "bits": [ 3 ],
  11396.           "attributes": {
  11397.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:559"
  11398.           }
  11399.         }
  11400.       }
  11401.     },
  11402.     "IBPD": {
  11403.       "attributes": {
  11404.         "blackbox": 1,
  11405.         "cells_not_processed": 1,
  11406.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:561"
  11407.       },
  11408.       "ports": {
  11409.         "I": {
  11410.           "direction": "input",
  11411.           "bits": [ 2 ]
  11412.         },
  11413.         "O": {
  11414.           "direction": "output",
  11415.           "bits": [ 3 ]
  11416.         }
  11417.       },
  11418.       "cells": {
  11419.       },
  11420.       "netnames": {
  11421.         "I": {
  11422.           "hide_name": 0,
  11423.           "bits": [ 2 ],
  11424.           "attributes": {
  11425.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:561"
  11426.           }
  11427.         },
  11428.         "O": {
  11429.           "hide_name": 0,
  11430.           "bits": [ 3 ],
  11431.           "attributes": {
  11432.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:561"
  11433.           }
  11434.         }
  11435.       }
  11436.     },
  11437.     "IBPU": {
  11438.       "attributes": {
  11439.         "blackbox": 1,
  11440.         "cells_not_processed": 1,
  11441.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:560"
  11442.       },
  11443.       "ports": {
  11444.         "I": {
  11445.           "direction": "input",
  11446.           "bits": [ 2 ]
  11447.         },
  11448.         "O": {
  11449.           "direction": "output",
  11450.           "bits": [ 3 ]
  11451.         }
  11452.       },
  11453.       "cells": {
  11454.       },
  11455.       "netnames": {
  11456.         "I": {
  11457.           "hide_name": 0,
  11458.           "bits": [ 2 ],
  11459.           "attributes": {
  11460.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:560"
  11461.           }
  11462.         },
  11463.         "O": {
  11464.           "hide_name": 0,
  11465.           "bits": [ 3 ],
  11466.           "attributes": {
  11467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:560"
  11468.           }
  11469.         }
  11470.       }
  11471.     },
  11472.     "IDDR71B": {
  11473.       "attributes": {
  11474.         "blackbox": 1,
  11475.         "cells_not_processed": 1,
  11476.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:211"
  11477.       },
  11478.       "ports": {
  11479.         "D": {
  11480.           "direction": "input",
  11481.           "bits": [ 2 ]
  11482.         },
  11483.         "SCLK": {
  11484.           "direction": "input",
  11485.           "bits": [ 3 ]
  11486.         },
  11487.         "ECLK": {
  11488.           "direction": "input",
  11489.           "bits": [ 4 ]
  11490.         },
  11491.         "RST": {
  11492.           "direction": "input",
  11493.           "bits": [ 5 ]
  11494.         },
  11495.         "ALIGNWD": {
  11496.           "direction": "input",
  11497.           "bits": [ 6 ]
  11498.         },
  11499.         "Q0": {
  11500.           "direction": "output",
  11501.           "bits": [ 7 ]
  11502.         },
  11503.         "Q1": {
  11504.           "direction": "output",
  11505.           "bits": [ 8 ]
  11506.         },
  11507.         "Q2": {
  11508.           "direction": "output",
  11509.           "bits": [ 9 ]
  11510.         },
  11511.         "Q3": {
  11512.           "direction": "output",
  11513.           "bits": [ 10 ]
  11514.         },
  11515.         "Q4": {
  11516.           "direction": "output",
  11517.           "bits": [ 11 ]
  11518.         },
  11519.         "Q5": {
  11520.           "direction": "output",
  11521.           "bits": [ 12 ]
  11522.         },
  11523.         "Q6": {
  11524.           "direction": "output",
  11525.           "bits": [ 13 ]
  11526.         }
  11527.       },
  11528.       "cells": {
  11529.       },
  11530.       "netnames": {
  11531.         "ALIGNWD": {
  11532.           "hide_name": 0,
  11533.           "bits": [ 6 ],
  11534.           "attributes": {
  11535.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212"
  11536.           }
  11537.         },
  11538.         "D": {
  11539.           "hide_name": 0,
  11540.           "bits": [ 2 ],
  11541.           "attributes": {
  11542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212"
  11543.           }
  11544.         },
  11545.         "ECLK": {
  11546.           "hide_name": 0,
  11547.           "bits": [ 4 ],
  11548.           "attributes": {
  11549.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212"
  11550.           }
  11551.         },
  11552.         "Q0": {
  11553.           "hide_name": 0,
  11554.           "bits": [ 7 ],
  11555.           "attributes": {
  11556.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11557.           }
  11558.         },
  11559.         "Q1": {
  11560.           "hide_name": 0,
  11561.           "bits": [ 8 ],
  11562.           "attributes": {
  11563.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11564.           }
  11565.         },
  11566.         "Q2": {
  11567.           "hide_name": 0,
  11568.           "bits": [ 9 ],
  11569.           "attributes": {
  11570.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11571.           }
  11572.         },
  11573.         "Q3": {
  11574.           "hide_name": 0,
  11575.           "bits": [ 10 ],
  11576.           "attributes": {
  11577.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11578.           }
  11579.         },
  11580.         "Q4": {
  11581.           "hide_name": 0,
  11582.           "bits": [ 11 ],
  11583.           "attributes": {
  11584.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11585.           }
  11586.         },
  11587.         "Q5": {
  11588.           "hide_name": 0,
  11589.           "bits": [ 12 ],
  11590.           "attributes": {
  11591.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11592.           }
  11593.         },
  11594.         "Q6": {
  11595.           "hide_name": 0,
  11596.           "bits": [ 13 ],
  11597.           "attributes": {
  11598.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:213"
  11599.           }
  11600.         },
  11601.         "RST": {
  11602.           "hide_name": 0,
  11603.           "bits": [ 5 ],
  11604.           "attributes": {
  11605.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212"
  11606.           }
  11607.         },
  11608.         "SCLK": {
  11609.           "hide_name": 0,
  11610.           "bits": [ 3 ],
  11611.           "attributes": {
  11612.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:212"
  11613.           }
  11614.         }
  11615.       }
  11616.     },
  11617.     "IDDRX1F": {
  11618.       "attributes": {
  11619.         "blackbox": 1,
  11620.         "cells_not_processed": 1,
  11621.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:195"
  11622.       },
  11623.       "ports": {
  11624.         "D": {
  11625.           "direction": "input",
  11626.           "bits": [ 2 ]
  11627.         },
  11628.         "SCLK": {
  11629.           "direction": "input",
  11630.           "bits": [ 3 ]
  11631.         },
  11632.         "RST": {
  11633.           "direction": "input",
  11634.           "bits": [ 4 ]
  11635.         },
  11636.         "Q0": {
  11637.           "direction": "output",
  11638.           "bits": [ 5 ]
  11639.         },
  11640.         "Q1": {
  11641.           "direction": "output",
  11642.           "bits": [ 6 ]
  11643.         }
  11644.       },
  11645.       "cells": {
  11646.       },
  11647.       "netnames": {
  11648.         "D": {
  11649.           "hide_name": 0,
  11650.           "bits": [ 2 ],
  11651.           "attributes": {
  11652.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196"
  11653.           }
  11654.         },
  11655.         "Q0": {
  11656.           "hide_name": 0,
  11657.           "bits": [ 5 ],
  11658.           "attributes": {
  11659.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197"
  11660.           }
  11661.         },
  11662.         "Q1": {
  11663.           "hide_name": 0,
  11664.           "bits": [ 6 ],
  11665.           "attributes": {
  11666.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:197"
  11667.           }
  11668.         },
  11669.         "RST": {
  11670.           "hide_name": 0,
  11671.           "bits": [ 4 ],
  11672.           "attributes": {
  11673.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196"
  11674.           }
  11675.         },
  11676.         "SCLK": {
  11677.           "hide_name": 0,
  11678.           "bits": [ 3 ],
  11679.           "attributes": {
  11680.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:196"
  11681.           }
  11682.         }
  11683.       }
  11684.     },
  11685.     "IDDRX2DQA": {
  11686.       "attributes": {
  11687.         "blackbox": 1,
  11688.         "cells_not_processed": 1,
  11689.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:219"
  11690.       },
  11691.       "ports": {
  11692.         "D": {
  11693.           "direction": "input",
  11694.           "bits": [ 2 ]
  11695.         },
  11696.         "DQSR90": {
  11697.           "direction": "input",
  11698.           "bits": [ 3 ]
  11699.         },
  11700.         "ECLK": {
  11701.           "direction": "input",
  11702.           "bits": [ 4 ]
  11703.         },
  11704.         "SCLK": {
  11705.           "direction": "input",
  11706.           "bits": [ 5 ]
  11707.         },
  11708.         "RST": {
  11709.           "direction": "input",
  11710.           "bits": [ 6 ]
  11711.         },
  11712.         "RDPNTR2": {
  11713.           "direction": "input",
  11714.           "bits": [ 7 ]
  11715.         },
  11716.         "RDPNTR1": {
  11717.           "direction": "input",
  11718.           "bits": [ 8 ]
  11719.         },
  11720.         "RDPNTR0": {
  11721.           "direction": "input",
  11722.           "bits": [ 9 ]
  11723.         },
  11724.         "WRPNTR2": {
  11725.           "direction": "input",
  11726.           "bits": [ 10 ]
  11727.         },
  11728.         "WRPNTR1": {
  11729.           "direction": "input",
  11730.           "bits": [ 11 ]
  11731.         },
  11732.         "WRPNTR0": {
  11733.           "direction": "input",
  11734.           "bits": [ 12 ]
  11735.         },
  11736.         "Q0": {
  11737.           "direction": "output",
  11738.           "bits": [ 13 ]
  11739.         },
  11740.         "Q1": {
  11741.           "direction": "output",
  11742.           "bits": [ 14 ]
  11743.         },
  11744.         "Q2": {
  11745.           "direction": "output",
  11746.           "bits": [ 15 ]
  11747.         },
  11748.         "Q3": {
  11749.           "direction": "output",
  11750.           "bits": [ 16 ]
  11751.         },
  11752.         "QWL": {
  11753.           "direction": "output",
  11754.           "bits": [ 17 ]
  11755.         }
  11756.       },
  11757.       "cells": {
  11758.       },
  11759.       "netnames": {
  11760.         "D": {
  11761.           "hide_name": 0,
  11762.           "bits": [ 2 ],
  11763.           "attributes": {
  11764.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220"
  11765.           }
  11766.         },
  11767.         "DQSR90": {
  11768.           "hide_name": 0,
  11769.           "bits": [ 3 ],
  11770.           "attributes": {
  11771.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220"
  11772.           }
  11773.         },
  11774.         "ECLK": {
  11775.           "hide_name": 0,
  11776.           "bits": [ 4 ],
  11777.           "attributes": {
  11778.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220"
  11779.           }
  11780.         },
  11781.         "Q0": {
  11782.           "hide_name": 0,
  11783.           "bits": [ 13 ],
  11784.           "attributes": {
  11785.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222"
  11786.           }
  11787.         },
  11788.         "Q1": {
  11789.           "hide_name": 0,
  11790.           "bits": [ 14 ],
  11791.           "attributes": {
  11792.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222"
  11793.           }
  11794.         },
  11795.         "Q2": {
  11796.           "hide_name": 0,
  11797.           "bits": [ 15 ],
  11798.           "attributes": {
  11799.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222"
  11800.           }
  11801.         },
  11802.         "Q3": {
  11803.           "hide_name": 0,
  11804.           "bits": [ 16 ],
  11805.           "attributes": {
  11806.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222"
  11807.           }
  11808.         },
  11809.         "QWL": {
  11810.           "hide_name": 0,
  11811.           "bits": [ 17 ],
  11812.           "attributes": {
  11813.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:222"
  11814.           }
  11815.         },
  11816.         "RDPNTR0": {
  11817.           "hide_name": 0,
  11818.           "bits": [ 9 ],
  11819.           "attributes": {
  11820.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11821.           }
  11822.         },
  11823.         "RDPNTR1": {
  11824.           "hide_name": 0,
  11825.           "bits": [ 8 ],
  11826.           "attributes": {
  11827.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11828.           }
  11829.         },
  11830.         "RDPNTR2": {
  11831.           "hide_name": 0,
  11832.           "bits": [ 7 ],
  11833.           "attributes": {
  11834.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11835.           }
  11836.         },
  11837.         "RST": {
  11838.           "hide_name": 0,
  11839.           "bits": [ 6 ],
  11840.           "attributes": {
  11841.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220"
  11842.           }
  11843.         },
  11844.         "SCLK": {
  11845.           "hide_name": 0,
  11846.           "bits": [ 5 ],
  11847.           "attributes": {
  11848.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:220"
  11849.           }
  11850.         },
  11851.         "WRPNTR0": {
  11852.           "hide_name": 0,
  11853.           "bits": [ 12 ],
  11854.           "attributes": {
  11855.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11856.           }
  11857.         },
  11858.         "WRPNTR1": {
  11859.           "hide_name": 0,
  11860.           "bits": [ 11 ],
  11861.           "attributes": {
  11862.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11863.           }
  11864.         },
  11865.         "WRPNTR2": {
  11866.           "hide_name": 0,
  11867.           "bits": [ 10 ],
  11868.           "attributes": {
  11869.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:221"
  11870.           }
  11871.         }
  11872.       }
  11873.     },
  11874.     "IDDRX2F": {
  11875.       "attributes": {
  11876.         "blackbox": 1,
  11877.         "cells_not_processed": 1,
  11878.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:203"
  11879.       },
  11880.       "ports": {
  11881.         "D": {
  11882.           "direction": "input",
  11883.           "bits": [ 2 ]
  11884.         },
  11885.         "SCLK": {
  11886.           "direction": "input",
  11887.           "bits": [ 3 ]
  11888.         },
  11889.         "ECLK": {
  11890.           "direction": "input",
  11891.           "bits": [ 4 ]
  11892.         },
  11893.         "RST": {
  11894.           "direction": "input",
  11895.           "bits": [ 5 ]
  11896.         },
  11897.         "Q0": {
  11898.           "direction": "output",
  11899.           "bits": [ 6 ]
  11900.         },
  11901.         "Q1": {
  11902.           "direction": "output",
  11903.           "bits": [ 7 ]
  11904.         },
  11905.         "Q2": {
  11906.           "direction": "output",
  11907.           "bits": [ 8 ]
  11908.         },
  11909.         "Q3": {
  11910.           "direction": "output",
  11911.           "bits": [ 9 ]
  11912.         }
  11913.       },
  11914.       "cells": {
  11915.       },
  11916.       "netnames": {
  11917.         "D": {
  11918.           "hide_name": 0,
  11919.           "bits": [ 2 ],
  11920.           "attributes": {
  11921.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204"
  11922.           }
  11923.         },
  11924.         "ECLK": {
  11925.           "hide_name": 0,
  11926.           "bits": [ 4 ],
  11927.           "attributes": {
  11928.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204"
  11929.           }
  11930.         },
  11931.         "Q0": {
  11932.           "hide_name": 0,
  11933.           "bits": [ 6 ],
  11934.           "attributes": {
  11935.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205"
  11936.           }
  11937.         },
  11938.         "Q1": {
  11939.           "hide_name": 0,
  11940.           "bits": [ 7 ],
  11941.           "attributes": {
  11942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205"
  11943.           }
  11944.         },
  11945.         "Q2": {
  11946.           "hide_name": 0,
  11947.           "bits": [ 8 ],
  11948.           "attributes": {
  11949.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205"
  11950.           }
  11951.         },
  11952.         "Q3": {
  11953.           "hide_name": 0,
  11954.           "bits": [ 9 ],
  11955.           "attributes": {
  11956.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:205"
  11957.           }
  11958.         },
  11959.         "RST": {
  11960.           "hide_name": 0,
  11961.           "bits": [ 5 ],
  11962.           "attributes": {
  11963.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204"
  11964.           }
  11965.         },
  11966.         "SCLK": {
  11967.           "hide_name": 0,
  11968.           "bits": [ 3 ],
  11969.           "attributes": {
  11970.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:204"
  11971.           }
  11972.         }
  11973.       }
  11974.     },
  11975.     "IFS1P3BX": {
  11976.       "attributes": {
  11977.         "blackbox": 1,
  11978.         "cells_not_processed": 1,
  11979.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  11980.       },
  11981.       "ports": {
  11982.         "PD": {
  11983.           "direction": "input",
  11984.           "bits": [ 2 ]
  11985.         },
  11986.         "D": {
  11987.           "direction": "input",
  11988.           "bits": [ 3 ]
  11989.         },
  11990.         "SP": {
  11991.           "direction": "input",
  11992.           "bits": [ 4 ]
  11993.         },
  11994.         "SCLK": {
  11995.           "direction": "input",
  11996.           "bits": [ 5 ]
  11997.         },
  11998.         "Q": {
  11999.           "direction": "output",
  12000.           "bits": [ 6 ]
  12001.         }
  12002.       },
  12003.       "cells": {
  12004.       },
  12005.       "netnames": {
  12006.         "D": {
  12007.           "hide_name": 0,
  12008.           "bits": [ 3 ],
  12009.           "attributes": {
  12010.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  12011.           }
  12012.         },
  12013.         "PD": {
  12014.           "hide_name": 0,
  12015.           "bits": [ 2 ],
  12016.           "attributes": {
  12017.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  12018.           }
  12019.         },
  12020.         "Q": {
  12021.           "hide_name": 0,
  12022.           "bits": [ 6 ],
  12023.           "attributes": {
  12024.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  12025.           }
  12026.         },
  12027.         "SCLK": {
  12028.           "hide_name": 0,
  12029.           "bits": [ 5 ],
  12030.           "attributes": {
  12031.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  12032.           }
  12033.         },
  12034.         "SP": {
  12035.           "hide_name": 0,
  12036.           "bits": [ 4 ],
  12037.           "attributes": {
  12038.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:574"
  12039.           }
  12040.         }
  12041.       }
  12042.     },
  12043.     "IFS1P3DX": {
  12044.       "attributes": {
  12045.         "blackbox": 1,
  12046.         "cells_not_processed": 1,
  12047.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12048.       },
  12049.       "ports": {
  12050.         "CD": {
  12051.           "direction": "input",
  12052.           "bits": [ 2 ]
  12053.         },
  12054.         "D": {
  12055.           "direction": "input",
  12056.           "bits": [ 3 ]
  12057.         },
  12058.         "SP": {
  12059.           "direction": "input",
  12060.           "bits": [ 4 ]
  12061.         },
  12062.         "SCLK": {
  12063.           "direction": "input",
  12064.           "bits": [ 5 ]
  12065.         },
  12066.         "Q": {
  12067.           "direction": "output",
  12068.           "bits": [ 6 ]
  12069.         }
  12070.       },
  12071.       "cells": {
  12072.       },
  12073.       "netnames": {
  12074.         "CD": {
  12075.           "hide_name": 0,
  12076.           "bits": [ 2 ],
  12077.           "attributes": {
  12078.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12079.           }
  12080.         },
  12081.         "D": {
  12082.           "hide_name": 0,
  12083.           "bits": [ 3 ],
  12084.           "attributes": {
  12085.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12086.           }
  12087.         },
  12088.         "Q": {
  12089.           "hide_name": 0,
  12090.           "bits": [ 6 ],
  12091.           "attributes": {
  12092.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12093.           }
  12094.         },
  12095.         "SCLK": {
  12096.           "hide_name": 0,
  12097.           "bits": [ 5 ],
  12098.           "attributes": {
  12099.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12100.           }
  12101.         },
  12102.         "SP": {
  12103.           "hide_name": 0,
  12104.           "bits": [ 4 ],
  12105.           "attributes": {
  12106.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:575"
  12107.           }
  12108.         }
  12109.       }
  12110.     },
  12111.     "IFS1P3IX": {
  12112.       "attributes": {
  12113.         "blackbox": 1,
  12114.         "cells_not_processed": 1,
  12115.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12116.       },
  12117.       "ports": {
  12118.         "CD": {
  12119.           "direction": "input",
  12120.           "bits": [ 2 ]
  12121.         },
  12122.         "D": {
  12123.           "direction": "input",
  12124.           "bits": [ 3 ]
  12125.         },
  12126.         "SP": {
  12127.           "direction": "input",
  12128.           "bits": [ 4 ]
  12129.         },
  12130.         "SCLK": {
  12131.           "direction": "input",
  12132.           "bits": [ 5 ]
  12133.         },
  12134.         "Q": {
  12135.           "direction": "output",
  12136.           "bits": [ 6 ]
  12137.         }
  12138.       },
  12139.       "cells": {
  12140.       },
  12141.       "netnames": {
  12142.         "CD": {
  12143.           "hide_name": 0,
  12144.           "bits": [ 2 ],
  12145.           "attributes": {
  12146.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12147.           }
  12148.         },
  12149.         "D": {
  12150.           "hide_name": 0,
  12151.           "bits": [ 3 ],
  12152.           "attributes": {
  12153.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12154.           }
  12155.         },
  12156.         "Q": {
  12157.           "hide_name": 0,
  12158.           "bits": [ 6 ],
  12159.           "attributes": {
  12160.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12161.           }
  12162.         },
  12163.         "SCLK": {
  12164.           "hide_name": 0,
  12165.           "bits": [ 5 ],
  12166.           "attributes": {
  12167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12168.           }
  12169.         },
  12170.         "SP": {
  12171.           "hide_name": 0,
  12172.           "bits": [ 4 ],
  12173.           "attributes": {
  12174.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:576"
  12175.           }
  12176.         }
  12177.       }
  12178.     },
  12179.     "IFS1P3JX": {
  12180.       "attributes": {
  12181.         "blackbox": 1,
  12182.         "cells_not_processed": 1,
  12183.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12184.       },
  12185.       "ports": {
  12186.         "PD": {
  12187.           "direction": "input",
  12188.           "bits": [ 2 ]
  12189.         },
  12190.         "D": {
  12191.           "direction": "input",
  12192.           "bits": [ 3 ]
  12193.         },
  12194.         "SP": {
  12195.           "direction": "input",
  12196.           "bits": [ 4 ]
  12197.         },
  12198.         "SCLK": {
  12199.           "direction": "input",
  12200.           "bits": [ 5 ]
  12201.         },
  12202.         "Q": {
  12203.           "direction": "output",
  12204.           "bits": [ 6 ]
  12205.         }
  12206.       },
  12207.       "cells": {
  12208.       },
  12209.       "netnames": {
  12210.         "D": {
  12211.           "hide_name": 0,
  12212.           "bits": [ 3 ],
  12213.           "attributes": {
  12214.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12215.           }
  12216.         },
  12217.         "PD": {
  12218.           "hide_name": 0,
  12219.           "bits": [ 2 ],
  12220.           "attributes": {
  12221.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12222.           }
  12223.         },
  12224.         "Q": {
  12225.           "hide_name": 0,
  12226.           "bits": [ 6 ],
  12227.           "attributes": {
  12228.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12229.           }
  12230.         },
  12231.         "SCLK": {
  12232.           "hide_name": 0,
  12233.           "bits": [ 5 ],
  12234.           "attributes": {
  12235.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12236.           }
  12237.         },
  12238.         "SP": {
  12239.           "hide_name": 0,
  12240.           "bits": [ 4 ],
  12241.           "attributes": {
  12242.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:577"
  12243.           }
  12244.         }
  12245.       }
  12246.     },
  12247.     "ILVDS": {
  12248.       "attributes": {
  12249.         "blackbox": 1,
  12250.         "cells_not_processed": 1,
  12251.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:570"
  12252.       },
  12253.       "ports": {
  12254.         "A": {
  12255.           "direction": "input",
  12256.           "bits": [ 2 ]
  12257.         },
  12258.         "AN": {
  12259.           "direction": "input",
  12260.           "bits": [ 3 ]
  12261.         },
  12262.         "Z": {
  12263.           "direction": "output",
  12264.           "bits": [ 4 ]
  12265.         }
  12266.       },
  12267.       "cells": {
  12268.       },
  12269.       "netnames": {
  12270.         "A": {
  12271.           "hide_name": 0,
  12272.           "bits": [ 2 ],
  12273.           "attributes": {
  12274.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:570"
  12275.           }
  12276.         },
  12277.         "AN": {
  12278.           "hide_name": 0,
  12279.           "bits": [ 3 ],
  12280.           "attributes": {
  12281.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:570"
  12282.           }
  12283.         },
  12284.         "Z": {
  12285.           "hide_name": 0,
  12286.           "bits": [ 4 ],
  12287.           "attributes": {
  12288.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:570"
  12289.           }
  12290.         }
  12291.       }
  12292.     },
  12293.     "INV": {
  12294.       "attributes": {
  12295.         "blackbox": 1,
  12296.         "cells_not_processed": 1,
  12297.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:284"
  12298.       },
  12299.       "ports": {
  12300.         "A": {
  12301.           "direction": "input",
  12302.           "bits": [ 2 ]
  12303.         },
  12304.         "Z": {
  12305.           "direction": "output",
  12306.           "bits": [ 3 ]
  12307.         }
  12308.       },
  12309.       "cells": {
  12310.       },
  12311.       "netnames": {
  12312.         "A": {
  12313.           "hide_name": 0,
  12314.           "bits": [ 2 ],
  12315.           "attributes": {
  12316.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:284"
  12317.           }
  12318.         },
  12319.         "Z": {
  12320.           "hide_name": 0,
  12321.           "bits": [ 3 ],
  12322.           "attributes": {
  12323.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:284"
  12324.           }
  12325.         }
  12326.       }
  12327.     },
  12328.     "JTAGG": {
  12329.       "attributes": {
  12330.         "blackbox": 1,
  12331.         "keep": 1,
  12332.         "cells_not_processed": 1,
  12333.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:167"
  12334.       },
  12335.       "ports": {
  12336.         "TCK": {
  12337.           "direction": "input",
  12338.           "bits": [ 2 ]
  12339.         },
  12340.         "TMS": {
  12341.           "direction": "input",
  12342.           "bits": [ 3 ]
  12343.         },
  12344.         "TDI": {
  12345.           "direction": "input",
  12346.           "bits": [ 4 ]
  12347.         },
  12348.         "JTDO2": {
  12349.           "direction": "input",
  12350.           "bits": [ 5 ]
  12351.         },
  12352.         "JTDO1": {
  12353.           "direction": "input",
  12354.           "bits": [ 6 ]
  12355.         },
  12356.         "TDO": {
  12357.           "direction": "output",
  12358.           "bits": [ 7 ]
  12359.         },
  12360.         "JTDI": {
  12361.           "direction": "output",
  12362.           "bits": [ 8 ]
  12363.         },
  12364.         "JTCK": {
  12365.           "direction": "output",
  12366.           "bits": [ 9 ]
  12367.         },
  12368.         "JRTI2": {
  12369.           "direction": "output",
  12370.           "bits": [ 10 ]
  12371.         },
  12372.         "JRTI1": {
  12373.           "direction": "output",
  12374.           "bits": [ 11 ]
  12375.         },
  12376.         "JSHIFT": {
  12377.           "direction": "output",
  12378.           "bits": [ 12 ]
  12379.         },
  12380.         "JUPDATE": {
  12381.           "direction": "output",
  12382.           "bits": [ 13 ]
  12383.         },
  12384.         "JRSTN": {
  12385.           "direction": "output",
  12386.           "bits": [ 14 ]
  12387.         },
  12388.         "JCE2": {
  12389.           "direction": "output",
  12390.           "bits": [ 15 ]
  12391.         },
  12392.         "JCE1": {
  12393.           "direction": "output",
  12394.           "bits": [ 16 ]
  12395.         }
  12396.       },
  12397.       "cells": {
  12398.       },
  12399.       "netnames": {
  12400.         "JCE1": {
  12401.           "hide_name": 0,
  12402.           "bits": [ 16 ],
  12403.           "attributes": {
  12404.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170"
  12405.           }
  12406.         },
  12407.         "JCE2": {
  12408.           "hide_name": 0,
  12409.           "bits": [ 15 ],
  12410.           "attributes": {
  12411.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170"
  12412.           }
  12413.         },
  12414.         "JRSTN": {
  12415.           "hide_name": 0,
  12416.           "bits": [ 14 ],
  12417.           "attributes": {
  12418.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170"
  12419.           }
  12420.         },
  12421.         "JRTI1": {
  12422.           "hide_name": 0,
  12423.           "bits": [ 11 ],
  12424.           "attributes": {
  12425.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169"
  12426.           }
  12427.         },
  12428.         "JRTI2": {
  12429.           "hide_name": 0,
  12430.           "bits": [ 10 ],
  12431.           "attributes": {
  12432.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169"
  12433.           }
  12434.         },
  12435.         "JSHIFT": {
  12436.           "hide_name": 0,
  12437.           "bits": [ 12 ],
  12438.           "attributes": {
  12439.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170"
  12440.           }
  12441.         },
  12442.         "JTCK": {
  12443.           "hide_name": 0,
  12444.           "bits": [ 9 ],
  12445.           "attributes": {
  12446.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169"
  12447.           }
  12448.         },
  12449.         "JTDI": {
  12450.           "hide_name": 0,
  12451.           "bits": [ 8 ],
  12452.           "attributes": {
  12453.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169"
  12454.           }
  12455.         },
  12456.         "JTDO1": {
  12457.           "hide_name": 0,
  12458.           "bits": [ 6 ],
  12459.           "attributes": {
  12460.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168"
  12461.           }
  12462.         },
  12463.         "JTDO2": {
  12464.           "hide_name": 0,
  12465.           "bits": [ 5 ],
  12466.           "attributes": {
  12467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168"
  12468.           }
  12469.         },
  12470.         "JUPDATE": {
  12471.           "hide_name": 0,
  12472.           "bits": [ 13 ],
  12473.           "attributes": {
  12474.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:170"
  12475.           }
  12476.         },
  12477.         "TCK": {
  12478.           "hide_name": 0,
  12479.           "bits": [ 2 ],
  12480.           "attributes": {
  12481.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168"
  12482.           }
  12483.         },
  12484.         "TDI": {
  12485.           "hide_name": 0,
  12486.           "bits": [ 4 ],
  12487.           "attributes": {
  12488.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168"
  12489.           }
  12490.         },
  12491.         "TDO": {
  12492.           "hide_name": 0,
  12493.           "bits": [ 7 ],
  12494.           "attributes": {
  12495.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:169"
  12496.           }
  12497.         },
  12498.         "TMS": {
  12499.           "hide_name": 0,
  12500.           "bits": [ 3 ],
  12501.           "attributes": {
  12502.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:168"
  12503.           }
  12504.         }
  12505.       }
  12506.     },
  12507.     "L6MUX21": {
  12508.       "attributes": {
  12509.         "blackbox": 1,
  12510.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13"
  12511.       },
  12512.       "ports": {
  12513.         "D0": {
  12514.           "direction": "input",
  12515.           "bits": [ 2 ]
  12516.         },
  12517.         "D1": {
  12518.           "direction": "input",
  12519.           "bits": [ 3 ]
  12520.         },
  12521.         "SD": {
  12522.           "direction": "input",
  12523.           "bits": [ 4 ]
  12524.         },
  12525.         "Z": {
  12526.           "direction": "output",
  12527.           "bits": [ 5 ]
  12528.         }
  12529.       },
  12530.       "cells": {
  12531.       },
  12532.       "netnames": {
  12533.         "D0": {
  12534.           "hide_name": 0,
  12535.           "bits": [ 2 ],
  12536.           "attributes": {
  12537.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13"
  12538.           }
  12539.         },
  12540.         "D1": {
  12541.           "hide_name": 0,
  12542.           "bits": [ 3 ],
  12543.           "attributes": {
  12544.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13"
  12545.           }
  12546.         },
  12547.         "SD": {
  12548.           "hide_name": 0,
  12549.           "bits": [ 4 ],
  12550.           "attributes": {
  12551.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13"
  12552.           }
  12553.         },
  12554.         "Z": {
  12555.           "hide_name": 0,
  12556.           "bits": [ 5 ],
  12557.           "attributes": {
  12558.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:13"
  12559.           }
  12560.         }
  12561.       }
  12562.     },
  12563.     "LUT2": {
  12564.       "attributes": {
  12565.         "blackbox": 1,
  12566.         "cells_not_processed": 1,
  12567.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198"
  12568.       },
  12569.       "ports": {
  12570.         "A": {
  12571.           "direction": "input",
  12572.           "bits": [ 2 ]
  12573.         },
  12574.         "B": {
  12575.           "direction": "input",
  12576.           "bits": [ 3 ]
  12577.         },
  12578.         "Z": {
  12579.           "direction": "output",
  12580.           "bits": [ 4 ]
  12581.         }
  12582.       },
  12583.       "cells": {
  12584.       },
  12585.       "netnames": {
  12586.         "A": {
  12587.           "hide_name": 0,
  12588.           "bits": [ 2 ],
  12589.           "attributes": {
  12590.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198"
  12591.           }
  12592.         },
  12593.         "B": {
  12594.           "hide_name": 0,
  12595.           "bits": [ 3 ],
  12596.           "attributes": {
  12597.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198"
  12598.           }
  12599.         },
  12600.         "Z": {
  12601.           "hide_name": 0,
  12602.           "bits": [ 4 ],
  12603.           "attributes": {
  12604.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:198"
  12605.           }
  12606.         }
  12607.       }
  12608.     },
  12609.     "LUT4": {
  12610.       "attributes": {
  12611.         "blackbox": 1,
  12612.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12613.       },
  12614.       "ports": {
  12615.         "A": {
  12616.           "direction": "input",
  12617.           "bits": [ 2 ]
  12618.         },
  12619.         "B": {
  12620.           "direction": "input",
  12621.           "bits": [ 3 ]
  12622.         },
  12623.         "C": {
  12624.           "direction": "input",
  12625.           "bits": [ 4 ]
  12626.         },
  12627.         "D": {
  12628.           "direction": "input",
  12629.           "bits": [ 5 ]
  12630.         },
  12631.         "Z": {
  12632.           "direction": "output",
  12633.           "bits": [ 6 ]
  12634.         }
  12635.       },
  12636.       "cells": {
  12637.       },
  12638.       "netnames": {
  12639.         "A": {
  12640.           "hide_name": 0,
  12641.           "bits": [ 2 ],
  12642.           "attributes": {
  12643.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12644.           }
  12645.         },
  12646.         "B": {
  12647.           "hide_name": 0,
  12648.           "bits": [ 3 ],
  12649.           "attributes": {
  12650.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12651.           }
  12652.         },
  12653.         "C": {
  12654.           "hide_name": 0,
  12655.           "bits": [ 4 ],
  12656.           "attributes": {
  12657.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12658.           }
  12659.         },
  12660.         "D": {
  12661.           "hide_name": 0,
  12662.           "bits": [ 5 ],
  12663.           "attributes": {
  12664.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12665.           }
  12666.         },
  12667.         "Z": {
  12668.           "hide_name": 0,
  12669.           "bits": [ 6 ],
  12670.           "attributes": {
  12671.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:3"
  12672.           }
  12673.         }
  12674.       }
  12675.     },
  12676.     "MULT18X18D": {
  12677.       "attributes": {
  12678.         "blackbox": 1,
  12679.         "cells_not_processed": 1,
  12680.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:5"
  12681.       },
  12682.       "ports": {
  12683.         "A0": {
  12684.           "direction": "input",
  12685.           "bits": [ 2 ]
  12686.         },
  12687.         "A1": {
  12688.           "direction": "input",
  12689.           "bits": [ 3 ]
  12690.         },
  12691.         "A2": {
  12692.           "direction": "input",
  12693.           "bits": [ 4 ]
  12694.         },
  12695.         "A3": {
  12696.           "direction": "input",
  12697.           "bits": [ 5 ]
  12698.         },
  12699.         "A4": {
  12700.           "direction": "input",
  12701.           "bits": [ 6 ]
  12702.         },
  12703.         "A5": {
  12704.           "direction": "input",
  12705.           "bits": [ 7 ]
  12706.         },
  12707.         "A6": {
  12708.           "direction": "input",
  12709.           "bits": [ 8 ]
  12710.         },
  12711.         "A7": {
  12712.           "direction": "input",
  12713.           "bits": [ 9 ]
  12714.         },
  12715.         "A8": {
  12716.           "direction": "input",
  12717.           "bits": [ 10 ]
  12718.         },
  12719.         "A9": {
  12720.           "direction": "input",
  12721.           "bits": [ 11 ]
  12722.         },
  12723.         "A10": {
  12724.           "direction": "input",
  12725.           "bits": [ 12 ]
  12726.         },
  12727.         "A11": {
  12728.           "direction": "input",
  12729.           "bits": [ 13 ]
  12730.         },
  12731.         "A12": {
  12732.           "direction": "input",
  12733.           "bits": [ 14 ]
  12734.         },
  12735.         "A13": {
  12736.           "direction": "input",
  12737.           "bits": [ 15 ]
  12738.         },
  12739.         "A14": {
  12740.           "direction": "input",
  12741.           "bits": [ 16 ]
  12742.         },
  12743.         "A15": {
  12744.           "direction": "input",
  12745.           "bits": [ 17 ]
  12746.         },
  12747.         "A16": {
  12748.           "direction": "input",
  12749.           "bits": [ 18 ]
  12750.         },
  12751.         "A17": {
  12752.           "direction": "input",
  12753.           "bits": [ 19 ]
  12754.         },
  12755.         "B0": {
  12756.           "direction": "input",
  12757.           "bits": [ 20 ]
  12758.         },
  12759.         "B1": {
  12760.           "direction": "input",
  12761.           "bits": [ 21 ]
  12762.         },
  12763.         "B2": {
  12764.           "direction": "input",
  12765.           "bits": [ 22 ]
  12766.         },
  12767.         "B3": {
  12768.           "direction": "input",
  12769.           "bits": [ 23 ]
  12770.         },
  12771.         "B4": {
  12772.           "direction": "input",
  12773.           "bits": [ 24 ]
  12774.         },
  12775.         "B5": {
  12776.           "direction": "input",
  12777.           "bits": [ 25 ]
  12778.         },
  12779.         "B6": {
  12780.           "direction": "input",
  12781.           "bits": [ 26 ]
  12782.         },
  12783.         "B7": {
  12784.           "direction": "input",
  12785.           "bits": [ 27 ]
  12786.         },
  12787.         "B8": {
  12788.           "direction": "input",
  12789.           "bits": [ 28 ]
  12790.         },
  12791.         "B9": {
  12792.           "direction": "input",
  12793.           "bits": [ 29 ]
  12794.         },
  12795.         "B10": {
  12796.           "direction": "input",
  12797.           "bits": [ 30 ]
  12798.         },
  12799.         "B11": {
  12800.           "direction": "input",
  12801.           "bits": [ 31 ]
  12802.         },
  12803.         "B12": {
  12804.           "direction": "input",
  12805.           "bits": [ 32 ]
  12806.         },
  12807.         "B13": {
  12808.           "direction": "input",
  12809.           "bits": [ 33 ]
  12810.         },
  12811.         "B14": {
  12812.           "direction": "input",
  12813.           "bits": [ 34 ]
  12814.         },
  12815.         "B15": {
  12816.           "direction": "input",
  12817.           "bits": [ 35 ]
  12818.         },
  12819.         "B16": {
  12820.           "direction": "input",
  12821.           "bits": [ 36 ]
  12822.         },
  12823.         "B17": {
  12824.           "direction": "input",
  12825.           "bits": [ 37 ]
  12826.         },
  12827.         "C0": {
  12828.           "direction": "input",
  12829.           "bits": [ 38 ]
  12830.         },
  12831.         "C1": {
  12832.           "direction": "input",
  12833.           "bits": [ 39 ]
  12834.         },
  12835.         "C2": {
  12836.           "direction": "input",
  12837.           "bits": [ 40 ]
  12838.         },
  12839.         "C3": {
  12840.           "direction": "input",
  12841.           "bits": [ 41 ]
  12842.         },
  12843.         "C4": {
  12844.           "direction": "input",
  12845.           "bits": [ 42 ]
  12846.         },
  12847.         "C5": {
  12848.           "direction": "input",
  12849.           "bits": [ 43 ]
  12850.         },
  12851.         "C6": {
  12852.           "direction": "input",
  12853.           "bits": [ 44 ]
  12854.         },
  12855.         "C7": {
  12856.           "direction": "input",
  12857.           "bits": [ 45 ]
  12858.         },
  12859.         "C8": {
  12860.           "direction": "input",
  12861.           "bits": [ 46 ]
  12862.         },
  12863.         "C9": {
  12864.           "direction": "input",
  12865.           "bits": [ 47 ]
  12866.         },
  12867.         "C10": {
  12868.           "direction": "input",
  12869.           "bits": [ 48 ]
  12870.         },
  12871.         "C11": {
  12872.           "direction": "input",
  12873.           "bits": [ 49 ]
  12874.         },
  12875.         "C12": {
  12876.           "direction": "input",
  12877.           "bits": [ 50 ]
  12878.         },
  12879.         "C13": {
  12880.           "direction": "input",
  12881.           "bits": [ 51 ]
  12882.         },
  12883.         "C14": {
  12884.           "direction": "input",
  12885.           "bits": [ 52 ]
  12886.         },
  12887.         "C15": {
  12888.           "direction": "input",
  12889.           "bits": [ 53 ]
  12890.         },
  12891.         "C16": {
  12892.           "direction": "input",
  12893.           "bits": [ 54 ]
  12894.         },
  12895.         "C17": {
  12896.           "direction": "input",
  12897.           "bits": [ 55 ]
  12898.         },
  12899.         "SIGNEDA": {
  12900.           "direction": "input",
  12901.           "bits": [ 56 ]
  12902.         },
  12903.         "SIGNEDB": {
  12904.           "direction": "input",
  12905.           "bits": [ 57 ]
  12906.         },
  12907.         "SOURCEA": {
  12908.           "direction": "input",
  12909.           "bits": [ 58 ]
  12910.         },
  12911.         "SOURCEB": {
  12912.           "direction": "input",
  12913.           "bits": [ 59 ]
  12914.         },
  12915.         "CLK0": {
  12916.           "direction": "input",
  12917.           "bits": [ 60 ]
  12918.         },
  12919.         "CLK1": {
  12920.           "direction": "input",
  12921.           "bits": [ 61 ]
  12922.         },
  12923.         "CLK2": {
  12924.           "direction": "input",
  12925.           "bits": [ 62 ]
  12926.         },
  12927.         "CLK3": {
  12928.           "direction": "input",
  12929.           "bits": [ 63 ]
  12930.         },
  12931.         "CE0": {
  12932.           "direction": "input",
  12933.           "bits": [ 64 ]
  12934.         },
  12935.         "CE1": {
  12936.           "direction": "input",
  12937.           "bits": [ 65 ]
  12938.         },
  12939.         "CE2": {
  12940.           "direction": "input",
  12941.           "bits": [ 66 ]
  12942.         },
  12943.         "CE3": {
  12944.           "direction": "input",
  12945.           "bits": [ 67 ]
  12946.         },
  12947.         "RST0": {
  12948.           "direction": "input",
  12949.           "bits": [ 68 ]
  12950.         },
  12951.         "RST1": {
  12952.           "direction": "input",
  12953.           "bits": [ 69 ]
  12954.         },
  12955.         "RST2": {
  12956.           "direction": "input",
  12957.           "bits": [ 70 ]
  12958.         },
  12959.         "RST3": {
  12960.           "direction": "input",
  12961.           "bits": [ 71 ]
  12962.         },
  12963.         "SRIA0": {
  12964.           "direction": "input",
  12965.           "bits": [ 72 ]
  12966.         },
  12967.         "SRIA1": {
  12968.           "direction": "input",
  12969.           "bits": [ 73 ]
  12970.         },
  12971.         "SRIA2": {
  12972.           "direction": "input",
  12973.           "bits": [ 74 ]
  12974.         },
  12975.         "SRIA3": {
  12976.           "direction": "input",
  12977.           "bits": [ 75 ]
  12978.         },
  12979.         "SRIA4": {
  12980.           "direction": "input",
  12981.           "bits": [ 76 ]
  12982.         },
  12983.         "SRIA5": {
  12984.           "direction": "input",
  12985.           "bits": [ 77 ]
  12986.         },
  12987.         "SRIA6": {
  12988.           "direction": "input",
  12989.           "bits": [ 78 ]
  12990.         },
  12991.         "SRIA7": {
  12992.           "direction": "input",
  12993.           "bits": [ 79 ]
  12994.         },
  12995.         "SRIA8": {
  12996.           "direction": "input",
  12997.           "bits": [ 80 ]
  12998.         },
  12999.         "SRIA9": {
  13000.           "direction": "input",
  13001.           "bits": [ 81 ]
  13002.         },
  13003.         "SRIA10": {
  13004.           "direction": "input",
  13005.           "bits": [ 82 ]
  13006.         },
  13007.         "SRIA11": {
  13008.           "direction": "input",
  13009.           "bits": [ 83 ]
  13010.         },
  13011.         "SRIA12": {
  13012.           "direction": "input",
  13013.           "bits": [ 84 ]
  13014.         },
  13015.         "SRIA13": {
  13016.           "direction": "input",
  13017.           "bits": [ 85 ]
  13018.         },
  13019.         "SRIA14": {
  13020.           "direction": "input",
  13021.           "bits": [ 86 ]
  13022.         },
  13023.         "SRIA15": {
  13024.           "direction": "input",
  13025.           "bits": [ 87 ]
  13026.         },
  13027.         "SRIA16": {
  13028.           "direction": "input",
  13029.           "bits": [ 88 ]
  13030.         },
  13031.         "SRIA17": {
  13032.           "direction": "input",
  13033.           "bits": [ 89 ]
  13034.         },
  13035.         "SRIB0": {
  13036.           "direction": "input",
  13037.           "bits": [ 90 ]
  13038.         },
  13039.         "SRIB1": {
  13040.           "direction": "input",
  13041.           "bits": [ 91 ]
  13042.         },
  13043.         "SRIB2": {
  13044.           "direction": "input",
  13045.           "bits": [ 92 ]
  13046.         },
  13047.         "SRIB3": {
  13048.           "direction": "input",
  13049.           "bits": [ 93 ]
  13050.         },
  13051.         "SRIB4": {
  13052.           "direction": "input",
  13053.           "bits": [ 94 ]
  13054.         },
  13055.         "SRIB5": {
  13056.           "direction": "input",
  13057.           "bits": [ 95 ]
  13058.         },
  13059.         "SRIB6": {
  13060.           "direction": "input",
  13061.           "bits": [ 96 ]
  13062.         },
  13063.         "SRIB7": {
  13064.           "direction": "input",
  13065.           "bits": [ 97 ]
  13066.         },
  13067.         "SRIB8": {
  13068.           "direction": "input",
  13069.           "bits": [ 98 ]
  13070.         },
  13071.         "SRIB9": {
  13072.           "direction": "input",
  13073.           "bits": [ 99 ]
  13074.         },
  13075.         "SRIB10": {
  13076.           "direction": "input",
  13077.           "bits": [ 100 ]
  13078.         },
  13079.         "SRIB11": {
  13080.           "direction": "input",
  13081.           "bits": [ 101 ]
  13082.         },
  13083.         "SRIB12": {
  13084.           "direction": "input",
  13085.           "bits": [ 102 ]
  13086.         },
  13087.         "SRIB13": {
  13088.           "direction": "input",
  13089.           "bits": [ 103 ]
  13090.         },
  13091.         "SRIB14": {
  13092.           "direction": "input",
  13093.           "bits": [ 104 ]
  13094.         },
  13095.         "SRIB15": {
  13096.           "direction": "input",
  13097.           "bits": [ 105 ]
  13098.         },
  13099.         "SRIB16": {
  13100.           "direction": "input",
  13101.           "bits": [ 106 ]
  13102.         },
  13103.         "SRIB17": {
  13104.           "direction": "input",
  13105.           "bits": [ 107 ]
  13106.         },
  13107.         "SROA0": {
  13108.           "direction": "output",
  13109.           "bits": [ 108 ]
  13110.         },
  13111.         "SROA1": {
  13112.           "direction": "output",
  13113.           "bits": [ 109 ]
  13114.         },
  13115.         "SROA2": {
  13116.           "direction": "output",
  13117.           "bits": [ 110 ]
  13118.         },
  13119.         "SROA3": {
  13120.           "direction": "output",
  13121.           "bits": [ 111 ]
  13122.         },
  13123.         "SROA4": {
  13124.           "direction": "output",
  13125.           "bits": [ 112 ]
  13126.         },
  13127.         "SROA5": {
  13128.           "direction": "output",
  13129.           "bits": [ 113 ]
  13130.         },
  13131.         "SROA6": {
  13132.           "direction": "output",
  13133.           "bits": [ 114 ]
  13134.         },
  13135.         "SROA7": {
  13136.           "direction": "output",
  13137.           "bits": [ 115 ]
  13138.         },
  13139.         "SROA8": {
  13140.           "direction": "output",
  13141.           "bits": [ 116 ]
  13142.         },
  13143.         "SROA9": {
  13144.           "direction": "output",
  13145.           "bits": [ 117 ]
  13146.         },
  13147.         "SROA10": {
  13148.           "direction": "output",
  13149.           "bits": [ 118 ]
  13150.         },
  13151.         "SROA11": {
  13152.           "direction": "output",
  13153.           "bits": [ 119 ]
  13154.         },
  13155.         "SROA12": {
  13156.           "direction": "output",
  13157.           "bits": [ 120 ]
  13158.         },
  13159.         "SROA13": {
  13160.           "direction": "output",
  13161.           "bits": [ 121 ]
  13162.         },
  13163.         "SROA14": {
  13164.           "direction": "output",
  13165.           "bits": [ 122 ]
  13166.         },
  13167.         "SROA15": {
  13168.           "direction": "output",
  13169.           "bits": [ 123 ]
  13170.         },
  13171.         "SROA16": {
  13172.           "direction": "output",
  13173.           "bits": [ 124 ]
  13174.         },
  13175.         "SROA17": {
  13176.           "direction": "output",
  13177.           "bits": [ 125 ]
  13178.         },
  13179.         "SROB0": {
  13180.           "direction": "output",
  13181.           "bits": [ 126 ]
  13182.         },
  13183.         "SROB1": {
  13184.           "direction": "output",
  13185.           "bits": [ 127 ]
  13186.         },
  13187.         "SROB2": {
  13188.           "direction": "output",
  13189.           "bits": [ 128 ]
  13190.         },
  13191.         "SROB3": {
  13192.           "direction": "output",
  13193.           "bits": [ 129 ]
  13194.         },
  13195.         "SROB4": {
  13196.           "direction": "output",
  13197.           "bits": [ 130 ]
  13198.         },
  13199.         "SROB5": {
  13200.           "direction": "output",
  13201.           "bits": [ 131 ]
  13202.         },
  13203.         "SROB6": {
  13204.           "direction": "output",
  13205.           "bits": [ 132 ]
  13206.         },
  13207.         "SROB7": {
  13208.           "direction": "output",
  13209.           "bits": [ 133 ]
  13210.         },
  13211.         "SROB8": {
  13212.           "direction": "output",
  13213.           "bits": [ 134 ]
  13214.         },
  13215.         "SROB9": {
  13216.           "direction": "output",
  13217.           "bits": [ 135 ]
  13218.         },
  13219.         "SROB10": {
  13220.           "direction": "output",
  13221.           "bits": [ 136 ]
  13222.         },
  13223.         "SROB11": {
  13224.           "direction": "output",
  13225.           "bits": [ 137 ]
  13226.         },
  13227.         "SROB12": {
  13228.           "direction": "output",
  13229.           "bits": [ 138 ]
  13230.         },
  13231.         "SROB13": {
  13232.           "direction": "output",
  13233.           "bits": [ 139 ]
  13234.         },
  13235.         "SROB14": {
  13236.           "direction": "output",
  13237.           "bits": [ 140 ]
  13238.         },
  13239.         "SROB15": {
  13240.           "direction": "output",
  13241.           "bits": [ 141 ]
  13242.         },
  13243.         "SROB16": {
  13244.           "direction": "output",
  13245.           "bits": [ 142 ]
  13246.         },
  13247.         "SROB17": {
  13248.           "direction": "output",
  13249.           "bits": [ 143 ]
  13250.         },
  13251.         "ROA0": {
  13252.           "direction": "output",
  13253.           "bits": [ 144 ]
  13254.         },
  13255.         "ROA1": {
  13256.           "direction": "output",
  13257.           "bits": [ 145 ]
  13258.         },
  13259.         "ROA2": {
  13260.           "direction": "output",
  13261.           "bits": [ 146 ]
  13262.         },
  13263.         "ROA3": {
  13264.           "direction": "output",
  13265.           "bits": [ 147 ]
  13266.         },
  13267.         "ROA4": {
  13268.           "direction": "output",
  13269.           "bits": [ 148 ]
  13270.         },
  13271.         "ROA5": {
  13272.           "direction": "output",
  13273.           "bits": [ 149 ]
  13274.         },
  13275.         "ROA6": {
  13276.           "direction": "output",
  13277.           "bits": [ 150 ]
  13278.         },
  13279.         "ROA7": {
  13280.           "direction": "output",
  13281.           "bits": [ 151 ]
  13282.         },
  13283.         "ROA8": {
  13284.           "direction": "output",
  13285.           "bits": [ 152 ]
  13286.         },
  13287.         "ROA9": {
  13288.           "direction": "output",
  13289.           "bits": [ 153 ]
  13290.         },
  13291.         "ROA10": {
  13292.           "direction": "output",
  13293.           "bits": [ 154 ]
  13294.         },
  13295.         "ROA11": {
  13296.           "direction": "output",
  13297.           "bits": [ 155 ]
  13298.         },
  13299.         "ROA12": {
  13300.           "direction": "output",
  13301.           "bits": [ 156 ]
  13302.         },
  13303.         "ROA13": {
  13304.           "direction": "output",
  13305.           "bits": [ 157 ]
  13306.         },
  13307.         "ROA14": {
  13308.           "direction": "output",
  13309.           "bits": [ 158 ]
  13310.         },
  13311.         "ROA15": {
  13312.           "direction": "output",
  13313.           "bits": [ 159 ]
  13314.         },
  13315.         "ROA16": {
  13316.           "direction": "output",
  13317.           "bits": [ 160 ]
  13318.         },
  13319.         "ROA17": {
  13320.           "direction": "output",
  13321.           "bits": [ 161 ]
  13322.         },
  13323.         "ROB0": {
  13324.           "direction": "output",
  13325.           "bits": [ 162 ]
  13326.         },
  13327.         "ROB1": {
  13328.           "direction": "output",
  13329.           "bits": [ 163 ]
  13330.         },
  13331.         "ROB2": {
  13332.           "direction": "output",
  13333.           "bits": [ 164 ]
  13334.         },
  13335.         "ROB3": {
  13336.           "direction": "output",
  13337.           "bits": [ 165 ]
  13338.         },
  13339.         "ROB4": {
  13340.           "direction": "output",
  13341.           "bits": [ 166 ]
  13342.         },
  13343.         "ROB5": {
  13344.           "direction": "output",
  13345.           "bits": [ 167 ]
  13346.         },
  13347.         "ROB6": {
  13348.           "direction": "output",
  13349.           "bits": [ 168 ]
  13350.         },
  13351.         "ROB7": {
  13352.           "direction": "output",
  13353.           "bits": [ 169 ]
  13354.         },
  13355.         "ROB8": {
  13356.           "direction": "output",
  13357.           "bits": [ 170 ]
  13358.         },
  13359.         "ROB9": {
  13360.           "direction": "output",
  13361.           "bits": [ 171 ]
  13362.         },
  13363.         "ROB10": {
  13364.           "direction": "output",
  13365.           "bits": [ 172 ]
  13366.         },
  13367.         "ROB11": {
  13368.           "direction": "output",
  13369.           "bits": [ 173 ]
  13370.         },
  13371.         "ROB12": {
  13372.           "direction": "output",
  13373.           "bits": [ 174 ]
  13374.         },
  13375.         "ROB13": {
  13376.           "direction": "output",
  13377.           "bits": [ 175 ]
  13378.         },
  13379.         "ROB14": {
  13380.           "direction": "output",
  13381.           "bits": [ 176 ]
  13382.         },
  13383.         "ROB15": {
  13384.           "direction": "output",
  13385.           "bits": [ 177 ]
  13386.         },
  13387.         "ROB16": {
  13388.           "direction": "output",
  13389.           "bits": [ 178 ]
  13390.         },
  13391.         "ROB17": {
  13392.           "direction": "output",
  13393.           "bits": [ 179 ]
  13394.         },
  13395.         "ROC0": {
  13396.           "direction": "output",
  13397.           "bits": [ 180 ]
  13398.         },
  13399.         "ROC1": {
  13400.           "direction": "output",
  13401.           "bits": [ 181 ]
  13402.         },
  13403.         "ROC2": {
  13404.           "direction": "output",
  13405.           "bits": [ 182 ]
  13406.         },
  13407.         "ROC3": {
  13408.           "direction": "output",
  13409.           "bits": [ 183 ]
  13410.         },
  13411.         "ROC4": {
  13412.           "direction": "output",
  13413.           "bits": [ 184 ]
  13414.         },
  13415.         "ROC5": {
  13416.           "direction": "output",
  13417.           "bits": [ 185 ]
  13418.         },
  13419.         "ROC6": {
  13420.           "direction": "output",
  13421.           "bits": [ 186 ]
  13422.         },
  13423.         "ROC7": {
  13424.           "direction": "output",
  13425.           "bits": [ 187 ]
  13426.         },
  13427.         "ROC8": {
  13428.           "direction": "output",
  13429.           "bits": [ 188 ]
  13430.         },
  13431.         "ROC9": {
  13432.           "direction": "output",
  13433.           "bits": [ 189 ]
  13434.         },
  13435.         "ROC10": {
  13436.           "direction": "output",
  13437.           "bits": [ 190 ]
  13438.         },
  13439.         "ROC11": {
  13440.           "direction": "output",
  13441.           "bits": [ 191 ]
  13442.         },
  13443.         "ROC12": {
  13444.           "direction": "output",
  13445.           "bits": [ 192 ]
  13446.         },
  13447.         "ROC13": {
  13448.           "direction": "output",
  13449.           "bits": [ 193 ]
  13450.         },
  13451.         "ROC14": {
  13452.           "direction": "output",
  13453.           "bits": [ 194 ]
  13454.         },
  13455.         "ROC15": {
  13456.           "direction": "output",
  13457.           "bits": [ 195 ]
  13458.         },
  13459.         "ROC16": {
  13460.           "direction": "output",
  13461.           "bits": [ 196 ]
  13462.         },
  13463.         "ROC17": {
  13464.           "direction": "output",
  13465.           "bits": [ 197 ]
  13466.         },
  13467.         "P0": {
  13468.           "direction": "output",
  13469.           "bits": [ 198 ]
  13470.         },
  13471.         "P1": {
  13472.           "direction": "output",
  13473.           "bits": [ 199 ]
  13474.         },
  13475.         "P2": {
  13476.           "direction": "output",
  13477.           "bits": [ 200 ]
  13478.         },
  13479.         "P3": {
  13480.           "direction": "output",
  13481.           "bits": [ 201 ]
  13482.         },
  13483.         "P4": {
  13484.           "direction": "output",
  13485.           "bits": [ 202 ]
  13486.         },
  13487.         "P5": {
  13488.           "direction": "output",
  13489.           "bits": [ 203 ]
  13490.         },
  13491.         "P6": {
  13492.           "direction": "output",
  13493.           "bits": [ 204 ]
  13494.         },
  13495.         "P7": {
  13496.           "direction": "output",
  13497.           "bits": [ 205 ]
  13498.         },
  13499.         "P8": {
  13500.           "direction": "output",
  13501.           "bits": [ 206 ]
  13502.         },
  13503.         "P9": {
  13504.           "direction": "output",
  13505.           "bits": [ 207 ]
  13506.         },
  13507.         "P10": {
  13508.           "direction": "output",
  13509.           "bits": [ 208 ]
  13510.         },
  13511.         "P11": {
  13512.           "direction": "output",
  13513.           "bits": [ 209 ]
  13514.         },
  13515.         "P12": {
  13516.           "direction": "output",
  13517.           "bits": [ 210 ]
  13518.         },
  13519.         "P13": {
  13520.           "direction": "output",
  13521.           "bits": [ 211 ]
  13522.         },
  13523.         "P14": {
  13524.           "direction": "output",
  13525.           "bits": [ 212 ]
  13526.         },
  13527.         "P15": {
  13528.           "direction": "output",
  13529.           "bits": [ 213 ]
  13530.         },
  13531.         "P16": {
  13532.           "direction": "output",
  13533.           "bits": [ 214 ]
  13534.         },
  13535.         "P17": {
  13536.           "direction": "output",
  13537.           "bits": [ 215 ]
  13538.         },
  13539.         "P18": {
  13540.           "direction": "output",
  13541.           "bits": [ 216 ]
  13542.         },
  13543.         "P19": {
  13544.           "direction": "output",
  13545.           "bits": [ 217 ]
  13546.         },
  13547.         "P20": {
  13548.           "direction": "output",
  13549.           "bits": [ 218 ]
  13550.         },
  13551.         "P21": {
  13552.           "direction": "output",
  13553.           "bits": [ 219 ]
  13554.         },
  13555.         "P22": {
  13556.           "direction": "output",
  13557.           "bits": [ 220 ]
  13558.         },
  13559.         "P23": {
  13560.           "direction": "output",
  13561.           "bits": [ 221 ]
  13562.         },
  13563.         "P24": {
  13564.           "direction": "output",
  13565.           "bits": [ 222 ]
  13566.         },
  13567.         "P25": {
  13568.           "direction": "output",
  13569.           "bits": [ 223 ]
  13570.         },
  13571.         "P26": {
  13572.           "direction": "output",
  13573.           "bits": [ 224 ]
  13574.         },
  13575.         "P27": {
  13576.           "direction": "output",
  13577.           "bits": [ 225 ]
  13578.         },
  13579.         "P28": {
  13580.           "direction": "output",
  13581.           "bits": [ 226 ]
  13582.         },
  13583.         "P29": {
  13584.           "direction": "output",
  13585.           "bits": [ 227 ]
  13586.         },
  13587.         "P30": {
  13588.           "direction": "output",
  13589.           "bits": [ 228 ]
  13590.         },
  13591.         "P31": {
  13592.           "direction": "output",
  13593.           "bits": [ 229 ]
  13594.         },
  13595.         "P32": {
  13596.           "direction": "output",
  13597.           "bits": [ 230 ]
  13598.         },
  13599.         "P33": {
  13600.           "direction": "output",
  13601.           "bits": [ 231 ]
  13602.         },
  13603.         "P34": {
  13604.           "direction": "output",
  13605.           "bits": [ 232 ]
  13606.         },
  13607.         "P35": {
  13608.           "direction": "output",
  13609.           "bits": [ 233 ]
  13610.         },
  13611.         "SIGNEDP": {
  13612.           "direction": "output",
  13613.           "bits": [ 234 ]
  13614.         }
  13615.       },
  13616.       "cells": {
  13617.       },
  13618.       "netnames": {
  13619.         "A0": {
  13620.           "hide_name": 0,
  13621.           "bits": [ 2 ],
  13622.           "attributes": {
  13623.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13624.           }
  13625.         },
  13626.         "A1": {
  13627.           "hide_name": 0,
  13628.           "bits": [ 3 ],
  13629.           "attributes": {
  13630.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13631.           }
  13632.         },
  13633.         "A10": {
  13634.           "hide_name": 0,
  13635.           "bits": [ 12 ],
  13636.           "attributes": {
  13637.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13638.           }
  13639.         },
  13640.         "A11": {
  13641.           "hide_name": 0,
  13642.           "bits": [ 13 ],
  13643.           "attributes": {
  13644.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13645.           }
  13646.         },
  13647.         "A12": {
  13648.           "hide_name": 0,
  13649.           "bits": [ 14 ],
  13650.           "attributes": {
  13651.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13652.           }
  13653.         },
  13654.         "A13": {
  13655.           "hide_name": 0,
  13656.           "bits": [ 15 ],
  13657.           "attributes": {
  13658.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13659.           }
  13660.         },
  13661.         "A14": {
  13662.           "hide_name": 0,
  13663.           "bits": [ 16 ],
  13664.           "attributes": {
  13665.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13666.           }
  13667.         },
  13668.         "A15": {
  13669.           "hide_name": 0,
  13670.           "bits": [ 17 ],
  13671.           "attributes": {
  13672.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13673.           }
  13674.         },
  13675.         "A16": {
  13676.           "hide_name": 0,
  13677.           "bits": [ 18 ],
  13678.           "attributes": {
  13679.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13680.           }
  13681.         },
  13682.         "A17": {
  13683.           "hide_name": 0,
  13684.           "bits": [ 19 ],
  13685.           "attributes": {
  13686.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13687.           }
  13688.         },
  13689.         "A2": {
  13690.           "hide_name": 0,
  13691.           "bits": [ 4 ],
  13692.           "attributes": {
  13693.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13694.           }
  13695.         },
  13696.         "A3": {
  13697.           "hide_name": 0,
  13698.           "bits": [ 5 ],
  13699.           "attributes": {
  13700.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13701.           }
  13702.         },
  13703.         "A4": {
  13704.           "hide_name": 0,
  13705.           "bits": [ 6 ],
  13706.           "attributes": {
  13707.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13708.           }
  13709.         },
  13710.         "A5": {
  13711.           "hide_name": 0,
  13712.           "bits": [ 7 ],
  13713.           "attributes": {
  13714.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13715.           }
  13716.         },
  13717.         "A6": {
  13718.           "hide_name": 0,
  13719.           "bits": [ 8 ],
  13720.           "attributes": {
  13721.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13722.           }
  13723.         },
  13724.         "A7": {
  13725.           "hide_name": 0,
  13726.           "bits": [ 9 ],
  13727.           "attributes": {
  13728.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13729.           }
  13730.         },
  13731.         "A8": {
  13732.           "hide_name": 0,
  13733.           "bits": [ 10 ],
  13734.           "attributes": {
  13735.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13736.           }
  13737.         },
  13738.         "A9": {
  13739.           "hide_name": 0,
  13740.           "bits": [ 11 ],
  13741.           "attributes": {
  13742.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:6"
  13743.           }
  13744.         },
  13745.         "B0": {
  13746.           "hide_name": 0,
  13747.           "bits": [ 20 ],
  13748.           "attributes": {
  13749.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13750.           }
  13751.         },
  13752.         "B1": {
  13753.           "hide_name": 0,
  13754.           "bits": [ 21 ],
  13755.           "attributes": {
  13756.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13757.           }
  13758.         },
  13759.         "B10": {
  13760.           "hide_name": 0,
  13761.           "bits": [ 30 ],
  13762.           "attributes": {
  13763.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13764.           }
  13765.         },
  13766.         "B11": {
  13767.           "hide_name": 0,
  13768.           "bits": [ 31 ],
  13769.           "attributes": {
  13770.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13771.           }
  13772.         },
  13773.         "B12": {
  13774.           "hide_name": 0,
  13775.           "bits": [ 32 ],
  13776.           "attributes": {
  13777.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13778.           }
  13779.         },
  13780.         "B13": {
  13781.           "hide_name": 0,
  13782.           "bits": [ 33 ],
  13783.           "attributes": {
  13784.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13785.           }
  13786.         },
  13787.         "B14": {
  13788.           "hide_name": 0,
  13789.           "bits": [ 34 ],
  13790.           "attributes": {
  13791.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13792.           }
  13793.         },
  13794.         "B15": {
  13795.           "hide_name": 0,
  13796.           "bits": [ 35 ],
  13797.           "attributes": {
  13798.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13799.           }
  13800.         },
  13801.         "B16": {
  13802.           "hide_name": 0,
  13803.           "bits": [ 36 ],
  13804.           "attributes": {
  13805.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13806.           }
  13807.         },
  13808.         "B17": {
  13809.           "hide_name": 0,
  13810.           "bits": [ 37 ],
  13811.           "attributes": {
  13812.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13813.           }
  13814.         },
  13815.         "B2": {
  13816.           "hide_name": 0,
  13817.           "bits": [ 22 ],
  13818.           "attributes": {
  13819.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13820.           }
  13821.         },
  13822.         "B3": {
  13823.           "hide_name": 0,
  13824.           "bits": [ 23 ],
  13825.           "attributes": {
  13826.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13827.           }
  13828.         },
  13829.         "B4": {
  13830.           "hide_name": 0,
  13831.           "bits": [ 24 ],
  13832.           "attributes": {
  13833.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13834.           }
  13835.         },
  13836.         "B5": {
  13837.           "hide_name": 0,
  13838.           "bits": [ 25 ],
  13839.           "attributes": {
  13840.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13841.           }
  13842.         },
  13843.         "B6": {
  13844.           "hide_name": 0,
  13845.           "bits": [ 26 ],
  13846.           "attributes": {
  13847.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13848.           }
  13849.         },
  13850.         "B7": {
  13851.           "hide_name": 0,
  13852.           "bits": [ 27 ],
  13853.           "attributes": {
  13854.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13855.           }
  13856.         },
  13857.         "B8": {
  13858.           "hide_name": 0,
  13859.           "bits": [ 28 ],
  13860.           "attributes": {
  13861.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13862.           }
  13863.         },
  13864.         "B9": {
  13865.           "hide_name": 0,
  13866.           "bits": [ 29 ],
  13867.           "attributes": {
  13868.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:7"
  13869.           }
  13870.         },
  13871.         "C0": {
  13872.           "hide_name": 0,
  13873.           "bits": [ 38 ],
  13874.           "attributes": {
  13875.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13876.           }
  13877.         },
  13878.         "C1": {
  13879.           "hide_name": 0,
  13880.           "bits": [ 39 ],
  13881.           "attributes": {
  13882.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13883.           }
  13884.         },
  13885.         "C10": {
  13886.           "hide_name": 0,
  13887.           "bits": [ 48 ],
  13888.           "attributes": {
  13889.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13890.           }
  13891.         },
  13892.         "C11": {
  13893.           "hide_name": 0,
  13894.           "bits": [ 49 ],
  13895.           "attributes": {
  13896.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13897.           }
  13898.         },
  13899.         "C12": {
  13900.           "hide_name": 0,
  13901.           "bits": [ 50 ],
  13902.           "attributes": {
  13903.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13904.           }
  13905.         },
  13906.         "C13": {
  13907.           "hide_name": 0,
  13908.           "bits": [ 51 ],
  13909.           "attributes": {
  13910.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13911.           }
  13912.         },
  13913.         "C14": {
  13914.           "hide_name": 0,
  13915.           "bits": [ 52 ],
  13916.           "attributes": {
  13917.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13918.           }
  13919.         },
  13920.         "C15": {
  13921.           "hide_name": 0,
  13922.           "bits": [ 53 ],
  13923.           "attributes": {
  13924.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13925.           }
  13926.         },
  13927.         "C16": {
  13928.           "hide_name": 0,
  13929.           "bits": [ 54 ],
  13930.           "attributes": {
  13931.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13932.           }
  13933.         },
  13934.         "C17": {
  13935.           "hide_name": 0,
  13936.           "bits": [ 55 ],
  13937.           "attributes": {
  13938.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13939.           }
  13940.         },
  13941.         "C2": {
  13942.           "hide_name": 0,
  13943.           "bits": [ 40 ],
  13944.           "attributes": {
  13945.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13946.           }
  13947.         },
  13948.         "C3": {
  13949.           "hide_name": 0,
  13950.           "bits": [ 41 ],
  13951.           "attributes": {
  13952.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13953.           }
  13954.         },
  13955.         "C4": {
  13956.           "hide_name": 0,
  13957.           "bits": [ 42 ],
  13958.           "attributes": {
  13959.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13960.           }
  13961.         },
  13962.         "C5": {
  13963.           "hide_name": 0,
  13964.           "bits": [ 43 ],
  13965.           "attributes": {
  13966.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13967.           }
  13968.         },
  13969.         "C6": {
  13970.           "hide_name": 0,
  13971.           "bits": [ 44 ],
  13972.           "attributes": {
  13973.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13974.           }
  13975.         },
  13976.         "C7": {
  13977.           "hide_name": 0,
  13978.           "bits": [ 45 ],
  13979.           "attributes": {
  13980.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13981.           }
  13982.         },
  13983.         "C8": {
  13984.           "hide_name": 0,
  13985.           "bits": [ 46 ],
  13986.           "attributes": {
  13987.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13988.           }
  13989.         },
  13990.         "C9": {
  13991.           "hide_name": 0,
  13992.           "bits": [ 47 ],
  13993.           "attributes": {
  13994.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:8"
  13995.           }
  13996.         },
  13997.         "CE0": {
  13998.           "hide_name": 0,
  13999.           "bits": [ 64 ],
  14000.           "attributes": {
  14001.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11"
  14002.           }
  14003.         },
  14004.         "CE1": {
  14005.           "hide_name": 0,
  14006.           "bits": [ 65 ],
  14007.           "attributes": {
  14008.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11"
  14009.           }
  14010.         },
  14011.         "CE2": {
  14012.           "hide_name": 0,
  14013.           "bits": [ 66 ],
  14014.           "attributes": {
  14015.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11"
  14016.           }
  14017.         },
  14018.         "CE3": {
  14019.           "hide_name": 0,
  14020.           "bits": [ 67 ],
  14021.           "attributes": {
  14022.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:11"
  14023.           }
  14024.         },
  14025.         "CLK0": {
  14026.           "hide_name": 0,
  14027.           "bits": [ 60 ],
  14028.           "attributes": {
  14029.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10"
  14030.           }
  14031.         },
  14032.         "CLK1": {
  14033.           "hide_name": 0,
  14034.           "bits": [ 61 ],
  14035.           "attributes": {
  14036.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10"
  14037.           }
  14038.         },
  14039.         "CLK2": {
  14040.           "hide_name": 0,
  14041.           "bits": [ 62 ],
  14042.           "attributes": {
  14043.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10"
  14044.           }
  14045.         },
  14046.         "CLK3": {
  14047.           "hide_name": 0,
  14048.           "bits": [ 63 ],
  14049.           "attributes": {
  14050.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:10"
  14051.           }
  14052.         },
  14053.         "P0": {
  14054.           "hide_name": 0,
  14055.           "bits": [ 198 ],
  14056.           "attributes": {
  14057.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14058.           }
  14059.         },
  14060.         "P1": {
  14061.           "hide_name": 0,
  14062.           "bits": [ 199 ],
  14063.           "attributes": {
  14064.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14065.           }
  14066.         },
  14067.         "P10": {
  14068.           "hide_name": 0,
  14069.           "bits": [ 208 ],
  14070.           "attributes": {
  14071.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14072.           }
  14073.         },
  14074.         "P11": {
  14075.           "hide_name": 0,
  14076.           "bits": [ 209 ],
  14077.           "attributes": {
  14078.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14079.           }
  14080.         },
  14081.         "P12": {
  14082.           "hide_name": 0,
  14083.           "bits": [ 210 ],
  14084.           "attributes": {
  14085.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14086.           }
  14087.         },
  14088.         "P13": {
  14089.           "hide_name": 0,
  14090.           "bits": [ 211 ],
  14091.           "attributes": {
  14092.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14093.           }
  14094.         },
  14095.         "P14": {
  14096.           "hide_name": 0,
  14097.           "bits": [ 212 ],
  14098.           "attributes": {
  14099.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14100.           }
  14101.         },
  14102.         "P15": {
  14103.           "hide_name": 0,
  14104.           "bits": [ 213 ],
  14105.           "attributes": {
  14106.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14107.           }
  14108.         },
  14109.         "P16": {
  14110.           "hide_name": 0,
  14111.           "bits": [ 214 ],
  14112.           "attributes": {
  14113.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14114.           }
  14115.         },
  14116.         "P17": {
  14117.           "hide_name": 0,
  14118.           "bits": [ 215 ],
  14119.           "attributes": {
  14120.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14121.           }
  14122.         },
  14123.         "P18": {
  14124.           "hide_name": 0,
  14125.           "bits": [ 216 ],
  14126.           "attributes": {
  14127.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14128.           }
  14129.         },
  14130.         "P19": {
  14131.           "hide_name": 0,
  14132.           "bits": [ 217 ],
  14133.           "attributes": {
  14134.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14135.           }
  14136.         },
  14137.         "P2": {
  14138.           "hide_name": 0,
  14139.           "bits": [ 200 ],
  14140.           "attributes": {
  14141.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14142.           }
  14143.         },
  14144.         "P20": {
  14145.           "hide_name": 0,
  14146.           "bits": [ 218 ],
  14147.           "attributes": {
  14148.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14149.           }
  14150.         },
  14151.         "P21": {
  14152.           "hide_name": 0,
  14153.           "bits": [ 219 ],
  14154.           "attributes": {
  14155.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14156.           }
  14157.         },
  14158.         "P22": {
  14159.           "hide_name": 0,
  14160.           "bits": [ 220 ],
  14161.           "attributes": {
  14162.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14163.           }
  14164.         },
  14165.         "P23": {
  14166.           "hide_name": 0,
  14167.           "bits": [ 221 ],
  14168.           "attributes": {
  14169.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14170.           }
  14171.         },
  14172.         "P24": {
  14173.           "hide_name": 0,
  14174.           "bits": [ 222 ],
  14175.           "attributes": {
  14176.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14177.           }
  14178.         },
  14179.         "P25": {
  14180.           "hide_name": 0,
  14181.           "bits": [ 223 ],
  14182.           "attributes": {
  14183.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14184.           }
  14185.         },
  14186.         "P26": {
  14187.           "hide_name": 0,
  14188.           "bits": [ 224 ],
  14189.           "attributes": {
  14190.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14191.           }
  14192.         },
  14193.         "P27": {
  14194.           "hide_name": 0,
  14195.           "bits": [ 225 ],
  14196.           "attributes": {
  14197.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14198.           }
  14199.         },
  14200.         "P28": {
  14201.           "hide_name": 0,
  14202.           "bits": [ 226 ],
  14203.           "attributes": {
  14204.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14205.           }
  14206.         },
  14207.         "P29": {
  14208.           "hide_name": 0,
  14209.           "bits": [ 227 ],
  14210.           "attributes": {
  14211.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14212.           }
  14213.         },
  14214.         "P3": {
  14215.           "hide_name": 0,
  14216.           "bits": [ 201 ],
  14217.           "attributes": {
  14218.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14219.           }
  14220.         },
  14221.         "P30": {
  14222.           "hide_name": 0,
  14223.           "bits": [ 228 ],
  14224.           "attributes": {
  14225.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14226.           }
  14227.         },
  14228.         "P31": {
  14229.           "hide_name": 0,
  14230.           "bits": [ 229 ],
  14231.           "attributes": {
  14232.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14233.           }
  14234.         },
  14235.         "P32": {
  14236.           "hide_name": 0,
  14237.           "bits": [ 230 ],
  14238.           "attributes": {
  14239.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14240.           }
  14241.         },
  14242.         "P33": {
  14243.           "hide_name": 0,
  14244.           "bits": [ 231 ],
  14245.           "attributes": {
  14246.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14247.           }
  14248.         },
  14249.         "P34": {
  14250.           "hide_name": 0,
  14251.           "bits": [ 232 ],
  14252.           "attributes": {
  14253.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14254.           }
  14255.         },
  14256.         "P35": {
  14257.           "hide_name": 0,
  14258.           "bits": [ 233 ],
  14259.           "attributes": {
  14260.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14261.           }
  14262.         },
  14263.         "P4": {
  14264.           "hide_name": 0,
  14265.           "bits": [ 202 ],
  14266.           "attributes": {
  14267.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14268.           }
  14269.         },
  14270.         "P5": {
  14271.           "hide_name": 0,
  14272.           "bits": [ 203 ],
  14273.           "attributes": {
  14274.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14275.           }
  14276.         },
  14277.         "P6": {
  14278.           "hide_name": 0,
  14279.           "bits": [ 204 ],
  14280.           "attributes": {
  14281.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14282.           }
  14283.         },
  14284.         "P7": {
  14285.           "hide_name": 0,
  14286.           "bits": [ 205 ],
  14287.           "attributes": {
  14288.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14289.           }
  14290.         },
  14291.         "P8": {
  14292.           "hide_name": 0,
  14293.           "bits": [ 206 ],
  14294.           "attributes": {
  14295.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14296.           }
  14297.         },
  14298.         "P9": {
  14299.           "hide_name": 0,
  14300.           "bits": [ 207 ],
  14301.           "attributes": {
  14302.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:20"
  14303.           }
  14304.         },
  14305.         "ROA0": {
  14306.           "hide_name": 0,
  14307.           "bits": [ 144 ],
  14308.           "attributes": {
  14309.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14310.           }
  14311.         },
  14312.         "ROA1": {
  14313.           "hide_name": 0,
  14314.           "bits": [ 145 ],
  14315.           "attributes": {
  14316.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14317.           }
  14318.         },
  14319.         "ROA10": {
  14320.           "hide_name": 0,
  14321.           "bits": [ 154 ],
  14322.           "attributes": {
  14323.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14324.           }
  14325.         },
  14326.         "ROA11": {
  14327.           "hide_name": 0,
  14328.           "bits": [ 155 ],
  14329.           "attributes": {
  14330.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14331.           }
  14332.         },
  14333.         "ROA12": {
  14334.           "hide_name": 0,
  14335.           "bits": [ 156 ],
  14336.           "attributes": {
  14337.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14338.           }
  14339.         },
  14340.         "ROA13": {
  14341.           "hide_name": 0,
  14342.           "bits": [ 157 ],
  14343.           "attributes": {
  14344.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14345.           }
  14346.         },
  14347.         "ROA14": {
  14348.           "hide_name": 0,
  14349.           "bits": [ 158 ],
  14350.           "attributes": {
  14351.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14352.           }
  14353.         },
  14354.         "ROA15": {
  14355.           "hide_name": 0,
  14356.           "bits": [ 159 ],
  14357.           "attributes": {
  14358.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14359.           }
  14360.         },
  14361.         "ROA16": {
  14362.           "hide_name": 0,
  14363.           "bits": [ 160 ],
  14364.           "attributes": {
  14365.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14366.           }
  14367.         },
  14368.         "ROA17": {
  14369.           "hide_name": 0,
  14370.           "bits": [ 161 ],
  14371.           "attributes": {
  14372.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14373.           }
  14374.         },
  14375.         "ROA2": {
  14376.           "hide_name": 0,
  14377.           "bits": [ 146 ],
  14378.           "attributes": {
  14379.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14380.           }
  14381.         },
  14382.         "ROA3": {
  14383.           "hide_name": 0,
  14384.           "bits": [ 147 ],
  14385.           "attributes": {
  14386.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14387.           }
  14388.         },
  14389.         "ROA4": {
  14390.           "hide_name": 0,
  14391.           "bits": [ 148 ],
  14392.           "attributes": {
  14393.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14394.           }
  14395.         },
  14396.         "ROA5": {
  14397.           "hide_name": 0,
  14398.           "bits": [ 149 ],
  14399.           "attributes": {
  14400.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14401.           }
  14402.         },
  14403.         "ROA6": {
  14404.           "hide_name": 0,
  14405.           "bits": [ 150 ],
  14406.           "attributes": {
  14407.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14408.           }
  14409.         },
  14410.         "ROA7": {
  14411.           "hide_name": 0,
  14412.           "bits": [ 151 ],
  14413.           "attributes": {
  14414.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14415.           }
  14416.         },
  14417.         "ROA8": {
  14418.           "hide_name": 0,
  14419.           "bits": [ 152 ],
  14420.           "attributes": {
  14421.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14422.           }
  14423.         },
  14424.         "ROA9": {
  14425.           "hide_name": 0,
  14426.           "bits": [ 153 ],
  14427.           "attributes": {
  14428.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:17"
  14429.           }
  14430.         },
  14431.         "ROB0": {
  14432.           "hide_name": 0,
  14433.           "bits": [ 162 ],
  14434.           "attributes": {
  14435.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14436.           }
  14437.         },
  14438.         "ROB1": {
  14439.           "hide_name": 0,
  14440.           "bits": [ 163 ],
  14441.           "attributes": {
  14442.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14443.           }
  14444.         },
  14445.         "ROB10": {
  14446.           "hide_name": 0,
  14447.           "bits": [ 172 ],
  14448.           "attributes": {
  14449.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14450.           }
  14451.         },
  14452.         "ROB11": {
  14453.           "hide_name": 0,
  14454.           "bits": [ 173 ],
  14455.           "attributes": {
  14456.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14457.           }
  14458.         },
  14459.         "ROB12": {
  14460.           "hide_name": 0,
  14461.           "bits": [ 174 ],
  14462.           "attributes": {
  14463.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14464.           }
  14465.         },
  14466.         "ROB13": {
  14467.           "hide_name": 0,
  14468.           "bits": [ 175 ],
  14469.           "attributes": {
  14470.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14471.           }
  14472.         },
  14473.         "ROB14": {
  14474.           "hide_name": 0,
  14475.           "bits": [ 176 ],
  14476.           "attributes": {
  14477.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14478.           }
  14479.         },
  14480.         "ROB15": {
  14481.           "hide_name": 0,
  14482.           "bits": [ 177 ],
  14483.           "attributes": {
  14484.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14485.           }
  14486.         },
  14487.         "ROB16": {
  14488.           "hide_name": 0,
  14489.           "bits": [ 178 ],
  14490.           "attributes": {
  14491.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14492.           }
  14493.         },
  14494.         "ROB17": {
  14495.           "hide_name": 0,
  14496.           "bits": [ 179 ],
  14497.           "attributes": {
  14498.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14499.           }
  14500.         },
  14501.         "ROB2": {
  14502.           "hide_name": 0,
  14503.           "bits": [ 164 ],
  14504.           "attributes": {
  14505.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14506.           }
  14507.         },
  14508.         "ROB3": {
  14509.           "hide_name": 0,
  14510.           "bits": [ 165 ],
  14511.           "attributes": {
  14512.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14513.           }
  14514.         },
  14515.         "ROB4": {
  14516.           "hide_name": 0,
  14517.           "bits": [ 166 ],
  14518.           "attributes": {
  14519.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14520.           }
  14521.         },
  14522.         "ROB5": {
  14523.           "hide_name": 0,
  14524.           "bits": [ 167 ],
  14525.           "attributes": {
  14526.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14527.           }
  14528.         },
  14529.         "ROB6": {
  14530.           "hide_name": 0,
  14531.           "bits": [ 168 ],
  14532.           "attributes": {
  14533.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14534.           }
  14535.         },
  14536.         "ROB7": {
  14537.           "hide_name": 0,
  14538.           "bits": [ 169 ],
  14539.           "attributes": {
  14540.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14541.           }
  14542.         },
  14543.         "ROB8": {
  14544.           "hide_name": 0,
  14545.           "bits": [ 170 ],
  14546.           "attributes": {
  14547.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14548.           }
  14549.         },
  14550.         "ROB9": {
  14551.           "hide_name": 0,
  14552.           "bits": [ 171 ],
  14553.           "attributes": {
  14554.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:18"
  14555.           }
  14556.         },
  14557.         "ROC0": {
  14558.           "hide_name": 0,
  14559.           "bits": [ 180 ],
  14560.           "attributes": {
  14561.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14562.           }
  14563.         },
  14564.         "ROC1": {
  14565.           "hide_name": 0,
  14566.           "bits": [ 181 ],
  14567.           "attributes": {
  14568.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14569.           }
  14570.         },
  14571.         "ROC10": {
  14572.           "hide_name": 0,
  14573.           "bits": [ 190 ],
  14574.           "attributes": {
  14575.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14576.           }
  14577.         },
  14578.         "ROC11": {
  14579.           "hide_name": 0,
  14580.           "bits": [ 191 ],
  14581.           "attributes": {
  14582.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14583.           }
  14584.         },
  14585.         "ROC12": {
  14586.           "hide_name": 0,
  14587.           "bits": [ 192 ],
  14588.           "attributes": {
  14589.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14590.           }
  14591.         },
  14592.         "ROC13": {
  14593.           "hide_name": 0,
  14594.           "bits": [ 193 ],
  14595.           "attributes": {
  14596.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14597.           }
  14598.         },
  14599.         "ROC14": {
  14600.           "hide_name": 0,
  14601.           "bits": [ 194 ],
  14602.           "attributes": {
  14603.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14604.           }
  14605.         },
  14606.         "ROC15": {
  14607.           "hide_name": 0,
  14608.           "bits": [ 195 ],
  14609.           "attributes": {
  14610.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14611.           }
  14612.         },
  14613.         "ROC16": {
  14614.           "hide_name": 0,
  14615.           "bits": [ 196 ],
  14616.           "attributes": {
  14617.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14618.           }
  14619.         },
  14620.         "ROC17": {
  14621.           "hide_name": 0,
  14622.           "bits": [ 197 ],
  14623.           "attributes": {
  14624.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14625.           }
  14626.         },
  14627.         "ROC2": {
  14628.           "hide_name": 0,
  14629.           "bits": [ 182 ],
  14630.           "attributes": {
  14631.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14632.           }
  14633.         },
  14634.         "ROC3": {
  14635.           "hide_name": 0,
  14636.           "bits": [ 183 ],
  14637.           "attributes": {
  14638.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14639.           }
  14640.         },
  14641.         "ROC4": {
  14642.           "hide_name": 0,
  14643.           "bits": [ 184 ],
  14644.           "attributes": {
  14645.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14646.           }
  14647.         },
  14648.         "ROC5": {
  14649.           "hide_name": 0,
  14650.           "bits": [ 185 ],
  14651.           "attributes": {
  14652.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14653.           }
  14654.         },
  14655.         "ROC6": {
  14656.           "hide_name": 0,
  14657.           "bits": [ 186 ],
  14658.           "attributes": {
  14659.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14660.           }
  14661.         },
  14662.         "ROC7": {
  14663.           "hide_name": 0,
  14664.           "bits": [ 187 ],
  14665.           "attributes": {
  14666.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14667.           }
  14668.         },
  14669.         "ROC8": {
  14670.           "hide_name": 0,
  14671.           "bits": [ 188 ],
  14672.           "attributes": {
  14673.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14674.           }
  14675.         },
  14676.         "ROC9": {
  14677.           "hide_name": 0,
  14678.           "bits": [ 189 ],
  14679.           "attributes": {
  14680.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:19"
  14681.           }
  14682.         },
  14683.         "RST0": {
  14684.           "hide_name": 0,
  14685.           "bits": [ 68 ],
  14686.           "attributes": {
  14687.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12"
  14688.           }
  14689.         },
  14690.         "RST1": {
  14691.           "hide_name": 0,
  14692.           "bits": [ 69 ],
  14693.           "attributes": {
  14694.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12"
  14695.           }
  14696.         },
  14697.         "RST2": {
  14698.           "hide_name": 0,
  14699.           "bits": [ 70 ],
  14700.           "attributes": {
  14701.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12"
  14702.           }
  14703.         },
  14704.         "RST3": {
  14705.           "hide_name": 0,
  14706.           "bits": [ 71 ],
  14707.           "attributes": {
  14708.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:12"
  14709.           }
  14710.         },
  14711.         "SIGNEDA": {
  14712.           "hide_name": 0,
  14713.           "bits": [ 56 ],
  14714.           "attributes": {
  14715.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9"
  14716.           }
  14717.         },
  14718.         "SIGNEDB": {
  14719.           "hide_name": 0,
  14720.           "bits": [ 57 ],
  14721.           "attributes": {
  14722.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9"
  14723.           }
  14724.         },
  14725.         "SIGNEDP": {
  14726.           "hide_name": 0,
  14727.           "bits": [ 234 ],
  14728.           "attributes": {
  14729.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:21"
  14730.           }
  14731.         },
  14732.         "SOURCEA": {
  14733.           "hide_name": 0,
  14734.           "bits": [ 58 ],
  14735.           "attributes": {
  14736.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9"
  14737.           }
  14738.         },
  14739.         "SOURCEB": {
  14740.           "hide_name": 0,
  14741.           "bits": [ 59 ],
  14742.           "attributes": {
  14743.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:9"
  14744.           }
  14745.         },
  14746.         "SRIA0": {
  14747.           "hide_name": 0,
  14748.           "bits": [ 72 ],
  14749.           "attributes": {
  14750.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14751.           }
  14752.         },
  14753.         "SRIA1": {
  14754.           "hide_name": 0,
  14755.           "bits": [ 73 ],
  14756.           "attributes": {
  14757.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14758.           }
  14759.         },
  14760.         "SRIA10": {
  14761.           "hide_name": 0,
  14762.           "bits": [ 82 ],
  14763.           "attributes": {
  14764.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14765.           }
  14766.         },
  14767.         "SRIA11": {
  14768.           "hide_name": 0,
  14769.           "bits": [ 83 ],
  14770.           "attributes": {
  14771.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14772.           }
  14773.         },
  14774.         "SRIA12": {
  14775.           "hide_name": 0,
  14776.           "bits": [ 84 ],
  14777.           "attributes": {
  14778.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14779.           }
  14780.         },
  14781.         "SRIA13": {
  14782.           "hide_name": 0,
  14783.           "bits": [ 85 ],
  14784.           "attributes": {
  14785.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14786.           }
  14787.         },
  14788.         "SRIA14": {
  14789.           "hide_name": 0,
  14790.           "bits": [ 86 ],
  14791.           "attributes": {
  14792.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14793.           }
  14794.         },
  14795.         "SRIA15": {
  14796.           "hide_name": 0,
  14797.           "bits": [ 87 ],
  14798.           "attributes": {
  14799.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14800.           }
  14801.         },
  14802.         "SRIA16": {
  14803.           "hide_name": 0,
  14804.           "bits": [ 88 ],
  14805.           "attributes": {
  14806.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14807.           }
  14808.         },
  14809.         "SRIA17": {
  14810.           "hide_name": 0,
  14811.           "bits": [ 89 ],
  14812.           "attributes": {
  14813.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14814.           }
  14815.         },
  14816.         "SRIA2": {
  14817.           "hide_name": 0,
  14818.           "bits": [ 74 ],
  14819.           "attributes": {
  14820.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14821.           }
  14822.         },
  14823.         "SRIA3": {
  14824.           "hide_name": 0,
  14825.           "bits": [ 75 ],
  14826.           "attributes": {
  14827.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14828.           }
  14829.         },
  14830.         "SRIA4": {
  14831.           "hide_name": 0,
  14832.           "bits": [ 76 ],
  14833.           "attributes": {
  14834.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14835.           }
  14836.         },
  14837.         "SRIA5": {
  14838.           "hide_name": 0,
  14839.           "bits": [ 77 ],
  14840.           "attributes": {
  14841.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14842.           }
  14843.         },
  14844.         "SRIA6": {
  14845.           "hide_name": 0,
  14846.           "bits": [ 78 ],
  14847.           "attributes": {
  14848.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14849.           }
  14850.         },
  14851.         "SRIA7": {
  14852.           "hide_name": 0,
  14853.           "bits": [ 79 ],
  14854.           "attributes": {
  14855.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14856.           }
  14857.         },
  14858.         "SRIA8": {
  14859.           "hide_name": 0,
  14860.           "bits": [ 80 ],
  14861.           "attributes": {
  14862.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14863.           }
  14864.         },
  14865.         "SRIA9": {
  14866.           "hide_name": 0,
  14867.           "bits": [ 81 ],
  14868.           "attributes": {
  14869.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:13"
  14870.           }
  14871.         },
  14872.         "SRIB0": {
  14873.           "hide_name": 0,
  14874.           "bits": [ 90 ],
  14875.           "attributes": {
  14876.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14877.           }
  14878.         },
  14879.         "SRIB1": {
  14880.           "hide_name": 0,
  14881.           "bits": [ 91 ],
  14882.           "attributes": {
  14883.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14884.           }
  14885.         },
  14886.         "SRIB10": {
  14887.           "hide_name": 0,
  14888.           "bits": [ 100 ],
  14889.           "attributes": {
  14890.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14891.           }
  14892.         },
  14893.         "SRIB11": {
  14894.           "hide_name": 0,
  14895.           "bits": [ 101 ],
  14896.           "attributes": {
  14897.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14898.           }
  14899.         },
  14900.         "SRIB12": {
  14901.           "hide_name": 0,
  14902.           "bits": [ 102 ],
  14903.           "attributes": {
  14904.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14905.           }
  14906.         },
  14907.         "SRIB13": {
  14908.           "hide_name": 0,
  14909.           "bits": [ 103 ],
  14910.           "attributes": {
  14911.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14912.           }
  14913.         },
  14914.         "SRIB14": {
  14915.           "hide_name": 0,
  14916.           "bits": [ 104 ],
  14917.           "attributes": {
  14918.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14919.           }
  14920.         },
  14921.         "SRIB15": {
  14922.           "hide_name": 0,
  14923.           "bits": [ 105 ],
  14924.           "attributes": {
  14925.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14926.           }
  14927.         },
  14928.         "SRIB16": {
  14929.           "hide_name": 0,
  14930.           "bits": [ 106 ],
  14931.           "attributes": {
  14932.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14933.           }
  14934.         },
  14935.         "SRIB17": {
  14936.           "hide_name": 0,
  14937.           "bits": [ 107 ],
  14938.           "attributes": {
  14939.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14940.           }
  14941.         },
  14942.         "SRIB2": {
  14943.           "hide_name": 0,
  14944.           "bits": [ 92 ],
  14945.           "attributes": {
  14946.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14947.           }
  14948.         },
  14949.         "SRIB3": {
  14950.           "hide_name": 0,
  14951.           "bits": [ 93 ],
  14952.           "attributes": {
  14953.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14954.           }
  14955.         },
  14956.         "SRIB4": {
  14957.           "hide_name": 0,
  14958.           "bits": [ 94 ],
  14959.           "attributes": {
  14960.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14961.           }
  14962.         },
  14963.         "SRIB5": {
  14964.           "hide_name": 0,
  14965.           "bits": [ 95 ],
  14966.           "attributes": {
  14967.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14968.           }
  14969.         },
  14970.         "SRIB6": {
  14971.           "hide_name": 0,
  14972.           "bits": [ 96 ],
  14973.           "attributes": {
  14974.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14975.           }
  14976.         },
  14977.         "SRIB7": {
  14978.           "hide_name": 0,
  14979.           "bits": [ 97 ],
  14980.           "attributes": {
  14981.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14982.           }
  14983.         },
  14984.         "SRIB8": {
  14985.           "hide_name": 0,
  14986.           "bits": [ 98 ],
  14987.           "attributes": {
  14988.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14989.           }
  14990.         },
  14991.         "SRIB9": {
  14992.           "hide_name": 0,
  14993.           "bits": [ 99 ],
  14994.           "attributes": {
  14995.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:14"
  14996.           }
  14997.         },
  14998.         "SROA0": {
  14999.           "hide_name": 0,
  15000.           "bits": [ 108 ],
  15001.           "attributes": {
  15002.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15003.           }
  15004.         },
  15005.         "SROA1": {
  15006.           "hide_name": 0,
  15007.           "bits": [ 109 ],
  15008.           "attributes": {
  15009.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15010.           }
  15011.         },
  15012.         "SROA10": {
  15013.           "hide_name": 0,
  15014.           "bits": [ 118 ],
  15015.           "attributes": {
  15016.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15017.           }
  15018.         },
  15019.         "SROA11": {
  15020.           "hide_name": 0,
  15021.           "bits": [ 119 ],
  15022.           "attributes": {
  15023.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15024.           }
  15025.         },
  15026.         "SROA12": {
  15027.           "hide_name": 0,
  15028.           "bits": [ 120 ],
  15029.           "attributes": {
  15030.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15031.           }
  15032.         },
  15033.         "SROA13": {
  15034.           "hide_name": 0,
  15035.           "bits": [ 121 ],
  15036.           "attributes": {
  15037.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15038.           }
  15039.         },
  15040.         "SROA14": {
  15041.           "hide_name": 0,
  15042.           "bits": [ 122 ],
  15043.           "attributes": {
  15044.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15045.           }
  15046.         },
  15047.         "SROA15": {
  15048.           "hide_name": 0,
  15049.           "bits": [ 123 ],
  15050.           "attributes": {
  15051.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15052.           }
  15053.         },
  15054.         "SROA16": {
  15055.           "hide_name": 0,
  15056.           "bits": [ 124 ],
  15057.           "attributes": {
  15058.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15059.           }
  15060.         },
  15061.         "SROA17": {
  15062.           "hide_name": 0,
  15063.           "bits": [ 125 ],
  15064.           "attributes": {
  15065.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15066.           }
  15067.         },
  15068.         "SROA2": {
  15069.           "hide_name": 0,
  15070.           "bits": [ 110 ],
  15071.           "attributes": {
  15072.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15073.           }
  15074.         },
  15075.         "SROA3": {
  15076.           "hide_name": 0,
  15077.           "bits": [ 111 ],
  15078.           "attributes": {
  15079.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15080.           }
  15081.         },
  15082.         "SROA4": {
  15083.           "hide_name": 0,
  15084.           "bits": [ 112 ],
  15085.           "attributes": {
  15086.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15087.           }
  15088.         },
  15089.         "SROA5": {
  15090.           "hide_name": 0,
  15091.           "bits": [ 113 ],
  15092.           "attributes": {
  15093.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15094.           }
  15095.         },
  15096.         "SROA6": {
  15097.           "hide_name": 0,
  15098.           "bits": [ 114 ],
  15099.           "attributes": {
  15100.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15101.           }
  15102.         },
  15103.         "SROA7": {
  15104.           "hide_name": 0,
  15105.           "bits": [ 115 ],
  15106.           "attributes": {
  15107.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15108.           }
  15109.         },
  15110.         "SROA8": {
  15111.           "hide_name": 0,
  15112.           "bits": [ 116 ],
  15113.           "attributes": {
  15114.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15115.           }
  15116.         },
  15117.         "SROA9": {
  15118.           "hide_name": 0,
  15119.           "bits": [ 117 ],
  15120.           "attributes": {
  15121.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:15"
  15122.           }
  15123.         },
  15124.         "SROB0": {
  15125.           "hide_name": 0,
  15126.           "bits": [ 126 ],
  15127.           "attributes": {
  15128.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15129.           }
  15130.         },
  15131.         "SROB1": {
  15132.           "hide_name": 0,
  15133.           "bits": [ 127 ],
  15134.           "attributes": {
  15135.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15136.           }
  15137.         },
  15138.         "SROB10": {
  15139.           "hide_name": 0,
  15140.           "bits": [ 136 ],
  15141.           "attributes": {
  15142.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15143.           }
  15144.         },
  15145.         "SROB11": {
  15146.           "hide_name": 0,
  15147.           "bits": [ 137 ],
  15148.           "attributes": {
  15149.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15150.           }
  15151.         },
  15152.         "SROB12": {
  15153.           "hide_name": 0,
  15154.           "bits": [ 138 ],
  15155.           "attributes": {
  15156.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15157.           }
  15158.         },
  15159.         "SROB13": {
  15160.           "hide_name": 0,
  15161.           "bits": [ 139 ],
  15162.           "attributes": {
  15163.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15164.           }
  15165.         },
  15166.         "SROB14": {
  15167.           "hide_name": 0,
  15168.           "bits": [ 140 ],
  15169.           "attributes": {
  15170.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15171.           }
  15172.         },
  15173.         "SROB15": {
  15174.           "hide_name": 0,
  15175.           "bits": [ 141 ],
  15176.           "attributes": {
  15177.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15178.           }
  15179.         },
  15180.         "SROB16": {
  15181.           "hide_name": 0,
  15182.           "bits": [ 142 ],
  15183.           "attributes": {
  15184.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15185.           }
  15186.         },
  15187.         "SROB17": {
  15188.           "hide_name": 0,
  15189.           "bits": [ 143 ],
  15190.           "attributes": {
  15191.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15192.           }
  15193.         },
  15194.         "SROB2": {
  15195.           "hide_name": 0,
  15196.           "bits": [ 128 ],
  15197.           "attributes": {
  15198.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15199.           }
  15200.         },
  15201.         "SROB3": {
  15202.           "hide_name": 0,
  15203.           "bits": [ 129 ],
  15204.           "attributes": {
  15205.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15206.           }
  15207.         },
  15208.         "SROB4": {
  15209.           "hide_name": 0,
  15210.           "bits": [ 130 ],
  15211.           "attributes": {
  15212.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15213.           }
  15214.         },
  15215.         "SROB5": {
  15216.           "hide_name": 0,
  15217.           "bits": [ 131 ],
  15218.           "attributes": {
  15219.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15220.           }
  15221.         },
  15222.         "SROB6": {
  15223.           "hide_name": 0,
  15224.           "bits": [ 132 ],
  15225.           "attributes": {
  15226.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15227.           }
  15228.         },
  15229.         "SROB7": {
  15230.           "hide_name": 0,
  15231.           "bits": [ 133 ],
  15232.           "attributes": {
  15233.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15234.           }
  15235.         },
  15236.         "SROB8": {
  15237.           "hide_name": 0,
  15238.           "bits": [ 134 ],
  15239.           "attributes": {
  15240.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15241.           }
  15242.         },
  15243.         "SROB9": {
  15244.           "hide_name": 0,
  15245.           "bits": [ 135 ],
  15246.           "attributes": {
  15247.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:16"
  15248.           }
  15249.         }
  15250.       }
  15251.     },
  15252.     "OB": {
  15253.       "attributes": {
  15254.         "blackbox": 1,
  15255.         "cells_not_processed": 1,
  15256.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:562"
  15257.       },
  15258.       "ports": {
  15259.         "I": {
  15260.           "direction": "input",
  15261.           "bits": [ 2 ]
  15262.         },
  15263.         "O": {
  15264.           "direction": "output",
  15265.           "bits": [ 3 ]
  15266.         }
  15267.       },
  15268.       "cells": {
  15269.       },
  15270.       "netnames": {
  15271.         "I": {
  15272.           "hide_name": 0,
  15273.           "bits": [ 2 ],
  15274.           "attributes": {
  15275.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:562"
  15276.           }
  15277.         },
  15278.         "O": {
  15279.           "hide_name": 0,
  15280.           "bits": [ 3 ],
  15281.           "attributes": {
  15282.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:562"
  15283.           }
  15284.         }
  15285.       }
  15286.     },
  15287.     "OBCO": {
  15288.       "attributes": {
  15289.         "blackbox": 1,
  15290.         "cells_not_processed": 1,
  15291.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:566"
  15292.       },
  15293.       "ports": {
  15294.         "I": {
  15295.           "direction": "input",
  15296.           "bits": [ 2 ]
  15297.         },
  15298.         "OT": {
  15299.           "direction": "output",
  15300.           "bits": [ 3 ]
  15301.         },
  15302.         "OC": {
  15303.           "direction": "output",
  15304.           "bits": [ 4 ]
  15305.         }
  15306.       },
  15307.       "cells": {
  15308.       },
  15309.       "netnames": {
  15310.         "I": {
  15311.           "hide_name": 0,
  15312.           "bits": [ 2 ],
  15313.           "attributes": {
  15314.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:566"
  15315.           }
  15316.         },
  15317.         "OC": {
  15318.           "hide_name": 0,
  15319.           "bits": [ 4 ],
  15320.           "attributes": {
  15321.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:566"
  15322.           }
  15323.         },
  15324.         "OT": {
  15325.           "hide_name": 0,
  15326.           "bits": [ 3 ],
  15327.           "attributes": {
  15328.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:566"
  15329.           }
  15330.         }
  15331.       }
  15332.     },
  15333.     "OBZ": {
  15334.       "attributes": {
  15335.         "blackbox": 1,
  15336.         "cells_not_processed": 1,
  15337.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:563"
  15338.       },
  15339.       "ports": {
  15340.         "I": {
  15341.           "direction": "input",
  15342.           "bits": [ 2 ]
  15343.         },
  15344.         "T": {
  15345.           "direction": "input",
  15346.           "bits": [ 3 ]
  15347.         },
  15348.         "O": {
  15349.           "direction": "output",
  15350.           "bits": [ 4 ]
  15351.         }
  15352.       },
  15353.       "cells": {
  15354.       },
  15355.       "netnames": {
  15356.         "I": {
  15357.           "hide_name": 0,
  15358.           "bits": [ 2 ],
  15359.           "attributes": {
  15360.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:563"
  15361.           }
  15362.         },
  15363.         "O": {
  15364.           "hide_name": 0,
  15365.           "bits": [ 4 ],
  15366.           "attributes": {
  15367.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:563"
  15368.           }
  15369.         },
  15370.         "T": {
  15371.           "hide_name": 0,
  15372.           "bits": [ 3 ],
  15373.           "attributes": {
  15374.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:563"
  15375.           }
  15376.         }
  15377.       }
  15378.     },
  15379.     "OBZPD": {
  15380.       "attributes": {
  15381.         "blackbox": 1,
  15382.         "cells_not_processed": 1,
  15383.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:565"
  15384.       },
  15385.       "ports": {
  15386.         "I": {
  15387.           "direction": "input",
  15388.           "bits": [ 2 ]
  15389.         },
  15390.         "T": {
  15391.           "direction": "input",
  15392.           "bits": [ 3 ]
  15393.         },
  15394.         "O": {
  15395.           "direction": "output",
  15396.           "bits": [ 4 ]
  15397.         }
  15398.       },
  15399.       "cells": {
  15400.       },
  15401.       "netnames": {
  15402.         "I": {
  15403.           "hide_name": 0,
  15404.           "bits": [ 2 ],
  15405.           "attributes": {
  15406.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:565"
  15407.           }
  15408.         },
  15409.         "O": {
  15410.           "hide_name": 0,
  15411.           "bits": [ 4 ],
  15412.           "attributes": {
  15413.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:565"
  15414.           }
  15415.         },
  15416.         "T": {
  15417.           "hide_name": 0,
  15418.           "bits": [ 3 ],
  15419.           "attributes": {
  15420.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:565"
  15421.           }
  15422.         }
  15423.       }
  15424.     },
  15425.     "OBZPU": {
  15426.       "attributes": {
  15427.         "blackbox": 1,
  15428.         "cells_not_processed": 1,
  15429.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:564"
  15430.       },
  15431.       "ports": {
  15432.         "I": {
  15433.           "direction": "input",
  15434.           "bits": [ 2 ]
  15435.         },
  15436.         "T": {
  15437.           "direction": "input",
  15438.           "bits": [ 3 ]
  15439.         },
  15440.         "O": {
  15441.           "direction": "output",
  15442.           "bits": [ 4 ]
  15443.         }
  15444.       },
  15445.       "cells": {
  15446.       },
  15447.       "netnames": {
  15448.         "I": {
  15449.           "hide_name": 0,
  15450.           "bits": [ 2 ],
  15451.           "attributes": {
  15452.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:564"
  15453.           }
  15454.         },
  15455.         "O": {
  15456.           "hide_name": 0,
  15457.           "bits": [ 4 ],
  15458.           "attributes": {
  15459.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:564"
  15460.           }
  15461.         },
  15462.         "T": {
  15463.           "hide_name": 0,
  15464.           "bits": [ 3 ],
  15465.           "attributes": {
  15466.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:564"
  15467.           }
  15468.         }
  15469.       }
  15470.     },
  15471.     "ODDR71B": {
  15472.       "attributes": {
  15473.         "blackbox": 1,
  15474.         "cells_not_processed": 1,
  15475.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:244"
  15476.       },
  15477.       "ports": {
  15478.         "SCLK": {
  15479.           "direction": "input",
  15480.           "bits": [ 2 ]
  15481.         },
  15482.         "ECLK": {
  15483.           "direction": "input",
  15484.           "bits": [ 3 ]
  15485.         },
  15486.         "RST": {
  15487.           "direction": "input",
  15488.           "bits": [ 4 ]
  15489.         },
  15490.         "D0": {
  15491.           "direction": "input",
  15492.           "bits": [ 5 ]
  15493.         },
  15494.         "D1": {
  15495.           "direction": "input",
  15496.           "bits": [ 6 ]
  15497.         },
  15498.         "D2": {
  15499.           "direction": "input",
  15500.           "bits": [ 7 ]
  15501.         },
  15502.         "D3": {
  15503.           "direction": "input",
  15504.           "bits": [ 8 ]
  15505.         },
  15506.         "D4": {
  15507.           "direction": "input",
  15508.           "bits": [ 9 ]
  15509.         },
  15510.         "D5": {
  15511.           "direction": "input",
  15512.           "bits": [ 10 ]
  15513.         },
  15514.         "D6": {
  15515.           "direction": "input",
  15516.           "bits": [ 11 ]
  15517.         },
  15518.         "Q": {
  15519.           "direction": "output",
  15520.           "bits": [ 12 ]
  15521.         }
  15522.       },
  15523.       "cells": {
  15524.       },
  15525.       "netnames": {
  15526.         "D0": {
  15527.           "hide_name": 0,
  15528.           "bits": [ 5 ],
  15529.           "attributes": {
  15530.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15531.           }
  15532.         },
  15533.         "D1": {
  15534.           "hide_name": 0,
  15535.           "bits": [ 6 ],
  15536.           "attributes": {
  15537.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15538.           }
  15539.         },
  15540.         "D2": {
  15541.           "hide_name": 0,
  15542.           "bits": [ 7 ],
  15543.           "attributes": {
  15544.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15545.           }
  15546.         },
  15547.         "D3": {
  15548.           "hide_name": 0,
  15549.           "bits": [ 8 ],
  15550.           "attributes": {
  15551.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15552.           }
  15553.         },
  15554.         "D4": {
  15555.           "hide_name": 0,
  15556.           "bits": [ 9 ],
  15557.           "attributes": {
  15558.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15559.           }
  15560.         },
  15561.         "D5": {
  15562.           "hide_name": 0,
  15563.           "bits": [ 10 ],
  15564.           "attributes": {
  15565.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15566.           }
  15567.         },
  15568.         "D6": {
  15569.           "hide_name": 0,
  15570.           "bits": [ 11 ],
  15571.           "attributes": {
  15572.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15573.           }
  15574.         },
  15575.         "ECLK": {
  15576.           "hide_name": 0,
  15577.           "bits": [ 3 ],
  15578.           "attributes": {
  15579.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15580.           }
  15581.         },
  15582.         "Q": {
  15583.           "hide_name": 0,
  15584.           "bits": [ 12 ],
  15585.           "attributes": {
  15586.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:246"
  15587.           }
  15588.         },
  15589.         "RST": {
  15590.           "hide_name": 0,
  15591.           "bits": [ 4 ],
  15592.           "attributes": {
  15593.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15594.           }
  15595.         },
  15596.         "SCLK": {
  15597.           "hide_name": 0,
  15598.           "bits": [ 2 ],
  15599.           "attributes": {
  15600.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:245"
  15601.           }
  15602.         }
  15603.       }
  15604.     },
  15605.     "ODDRX1F": {
  15606.       "attributes": {
  15607.         "blackbox": 1,
  15608.         "cells_not_processed": 1,
  15609.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:228"
  15610.       },
  15611.       "ports": {
  15612.         "SCLK": {
  15613.           "direction": "input",
  15614.           "bits": [ 2 ]
  15615.         },
  15616.         "RST": {
  15617.           "direction": "input",
  15618.           "bits": [ 3 ]
  15619.         },
  15620.         "D0": {
  15621.           "direction": "input",
  15622.           "bits": [ 4 ]
  15623.         },
  15624.         "D1": {
  15625.           "direction": "input",
  15626.           "bits": [ 5 ]
  15627.         },
  15628.         "Q": {
  15629.           "direction": "output",
  15630.           "bits": [ 6 ]
  15631.         }
  15632.       },
  15633.       "cells": {
  15634.       },
  15635.       "netnames": {
  15636.         "D0": {
  15637.           "hide_name": 0,
  15638.           "bits": [ 4 ],
  15639.           "attributes": {
  15640.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229"
  15641.           }
  15642.         },
  15643.         "D1": {
  15644.           "hide_name": 0,
  15645.           "bits": [ 5 ],
  15646.           "attributes": {
  15647.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229"
  15648.           }
  15649.         },
  15650.         "Q": {
  15651.           "hide_name": 0,
  15652.           "bits": [ 6 ],
  15653.           "attributes": {
  15654.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:230"
  15655.           }
  15656.         },
  15657.         "RST": {
  15658.           "hide_name": 0,
  15659.           "bits": [ 3 ],
  15660.           "attributes": {
  15661.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229"
  15662.           }
  15663.         },
  15664.         "SCLK": {
  15665.           "hide_name": 0,
  15666.           "bits": [ 2 ],
  15667.           "attributes": {
  15668.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:229"
  15669.           }
  15670.         }
  15671.       }
  15672.     },
  15673.     "ODDRX2DQA": {
  15674.       "attributes": {
  15675.         "blackbox": 1,
  15676.         "cells_not_processed": 1,
  15677.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:260"
  15678.       },
  15679.       "ports": {
  15680.         "D0": {
  15681.           "direction": "input",
  15682.           "bits": [ 2 ]
  15683.         },
  15684.         "D1": {
  15685.           "direction": "input",
  15686.           "bits": [ 3 ]
  15687.         },
  15688.         "D2": {
  15689.           "direction": "input",
  15690.           "bits": [ 4 ]
  15691.         },
  15692.         "D3": {
  15693.           "direction": "input",
  15694.           "bits": [ 5 ]
  15695.         },
  15696.         "RST": {
  15697.           "direction": "input",
  15698.           "bits": [ 6 ]
  15699.         },
  15700.         "ECLK": {
  15701.           "direction": "input",
  15702.           "bits": [ 7 ]
  15703.         },
  15704.         "SCLK": {
  15705.           "direction": "input",
  15706.           "bits": [ 8 ]
  15707.         },
  15708.         "DQSW270": {
  15709.           "direction": "input",
  15710.           "bits": [ 9 ]
  15711.         },
  15712.         "Q": {
  15713.           "direction": "output",
  15714.           "bits": [ 10 ]
  15715.         }
  15716.       },
  15717.       "cells": {
  15718.       },
  15719.       "netnames": {
  15720.         "D0": {
  15721.           "hide_name": 0,
  15722.           "bits": [ 2 ],
  15723.           "attributes": {
  15724.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15725.           }
  15726.         },
  15727.         "D1": {
  15728.           "hide_name": 0,
  15729.           "bits": [ 3 ],
  15730.           "attributes": {
  15731.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15732.           }
  15733.         },
  15734.         "D2": {
  15735.           "hide_name": 0,
  15736.           "bits": [ 4 ],
  15737.           "attributes": {
  15738.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15739.           }
  15740.         },
  15741.         "D3": {
  15742.           "hide_name": 0,
  15743.           "bits": [ 5 ],
  15744.           "attributes": {
  15745.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15746.           }
  15747.         },
  15748.         "DQSW270": {
  15749.           "hide_name": 0,
  15750.           "bits": [ 9 ],
  15751.           "attributes": {
  15752.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15753.           }
  15754.         },
  15755.         "ECLK": {
  15756.           "hide_name": 0,
  15757.           "bits": [ 7 ],
  15758.           "attributes": {
  15759.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15760.           }
  15761.         },
  15762.         "Q": {
  15763.           "hide_name": 0,
  15764.           "bits": [ 10 ],
  15765.           "attributes": {
  15766.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:262"
  15767.           }
  15768.         },
  15769.         "RST": {
  15770.           "hide_name": 0,
  15771.           "bits": [ 6 ],
  15772.           "attributes": {
  15773.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15774.           }
  15775.         },
  15776.         "SCLK": {
  15777.           "hide_name": 0,
  15778.           "bits": [ 8 ],
  15779.           "attributes": {
  15780.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:261"
  15781.           }
  15782.         }
  15783.       }
  15784.     },
  15785.     "ODDRX2DQSB": {
  15786.       "attributes": {
  15787.         "blackbox": 1,
  15788.         "cells_not_processed": 1,
  15789.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:268"
  15790.       },
  15791.       "ports": {
  15792.         "D0": {
  15793.           "direction": "input",
  15794.           "bits": [ 2 ]
  15795.         },
  15796.         "D1": {
  15797.           "direction": "input",
  15798.           "bits": [ 3 ]
  15799.         },
  15800.         "D2": {
  15801.           "direction": "input",
  15802.           "bits": [ 4 ]
  15803.         },
  15804.         "D3": {
  15805.           "direction": "input",
  15806.           "bits": [ 5 ]
  15807.         },
  15808.         "RST": {
  15809.           "direction": "input",
  15810.           "bits": [ 6 ]
  15811.         },
  15812.         "ECLK": {
  15813.           "direction": "input",
  15814.           "bits": [ 7 ]
  15815.         },
  15816.         "SCLK": {
  15817.           "direction": "input",
  15818.           "bits": [ 8 ]
  15819.         },
  15820.         "DQSW": {
  15821.           "direction": "input",
  15822.           "bits": [ 9 ]
  15823.         },
  15824.         "Q": {
  15825.           "direction": "output",
  15826.           "bits": [ 10 ]
  15827.         }
  15828.       },
  15829.       "cells": {
  15830.       },
  15831.       "netnames": {
  15832.         "D0": {
  15833.           "hide_name": 0,
  15834.           "bits": [ 2 ],
  15835.           "attributes": {
  15836.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15837.           }
  15838.         },
  15839.         "D1": {
  15840.           "hide_name": 0,
  15841.           "bits": [ 3 ],
  15842.           "attributes": {
  15843.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15844.           }
  15845.         },
  15846.         "D2": {
  15847.           "hide_name": 0,
  15848.           "bits": [ 4 ],
  15849.           "attributes": {
  15850.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15851.           }
  15852.         },
  15853.         "D3": {
  15854.           "hide_name": 0,
  15855.           "bits": [ 5 ],
  15856.           "attributes": {
  15857.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15858.           }
  15859.         },
  15860.         "DQSW": {
  15861.           "hide_name": 0,
  15862.           "bits": [ 9 ],
  15863.           "attributes": {
  15864.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15865.           }
  15866.         },
  15867.         "ECLK": {
  15868.           "hide_name": 0,
  15869.           "bits": [ 7 ],
  15870.           "attributes": {
  15871.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15872.           }
  15873.         },
  15874.         "Q": {
  15875.           "hide_name": 0,
  15876.           "bits": [ 10 ],
  15877.           "attributes": {
  15878.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:270"
  15879.           }
  15880.         },
  15881.         "RST": {
  15882.           "hide_name": 0,
  15883.           "bits": [ 6 ],
  15884.           "attributes": {
  15885.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15886.           }
  15887.         },
  15888.         "SCLK": {
  15889.           "hide_name": 0,
  15890.           "bits": [ 8 ],
  15891.           "attributes": {
  15892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:269"
  15893.           }
  15894.         }
  15895.       }
  15896.     },
  15897.     "ODDRX2F": {
  15898.       "attributes": {
  15899.         "blackbox": 1,
  15900.         "cells_not_processed": 1,
  15901.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:236"
  15902.       },
  15903.       "ports": {
  15904.         "SCLK": {
  15905.           "direction": "input",
  15906.           "bits": [ 2 ]
  15907.         },
  15908.         "ECLK": {
  15909.           "direction": "input",
  15910.           "bits": [ 3 ]
  15911.         },
  15912.         "RST": {
  15913.           "direction": "input",
  15914.           "bits": [ 4 ]
  15915.         },
  15916.         "D0": {
  15917.           "direction": "input",
  15918.           "bits": [ 5 ]
  15919.         },
  15920.         "D1": {
  15921.           "direction": "input",
  15922.           "bits": [ 6 ]
  15923.         },
  15924.         "D2": {
  15925.           "direction": "input",
  15926.           "bits": [ 7 ]
  15927.         },
  15928.         "D3": {
  15929.           "direction": "input",
  15930.           "bits": [ 8 ]
  15931.         },
  15932.         "Q": {
  15933.           "direction": "output",
  15934.           "bits": [ 9 ]
  15935.         }
  15936.       },
  15937.       "cells": {
  15938.       },
  15939.       "netnames": {
  15940.         "D0": {
  15941.           "hide_name": 0,
  15942.           "bits": [ 5 ],
  15943.           "attributes": {
  15944.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15945.           }
  15946.         },
  15947.         "D1": {
  15948.           "hide_name": 0,
  15949.           "bits": [ 6 ],
  15950.           "attributes": {
  15951.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15952.           }
  15953.         },
  15954.         "D2": {
  15955.           "hide_name": 0,
  15956.           "bits": [ 7 ],
  15957.           "attributes": {
  15958.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15959.           }
  15960.         },
  15961.         "D3": {
  15962.           "hide_name": 0,
  15963.           "bits": [ 8 ],
  15964.           "attributes": {
  15965.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15966.           }
  15967.         },
  15968.         "ECLK": {
  15969.           "hide_name": 0,
  15970.           "bits": [ 3 ],
  15971.           "attributes": {
  15972.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15973.           }
  15974.         },
  15975.         "Q": {
  15976.           "hide_name": 0,
  15977.           "bits": [ 9 ],
  15978.           "attributes": {
  15979.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:238"
  15980.           }
  15981.         },
  15982.         "RST": {
  15983.           "hide_name": 0,
  15984.           "bits": [ 4 ],
  15985.           "attributes": {
  15986.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15987.           }
  15988.         },
  15989.         "SCLK": {
  15990.           "hide_name": 0,
  15991.           "bits": [ 2 ],
  15992.           "attributes": {
  15993.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:237"
  15994.           }
  15995.         }
  15996.       }
  15997.     },
  15998.     "OFS1P3BX": {
  15999.       "attributes": {
  16000.         "blackbox": 1,
  16001.         "cells_not_processed": 1,
  16002.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16003.       },
  16004.       "ports": {
  16005.         "PD": {
  16006.           "direction": "input",
  16007.           "bits": [ 2 ]
  16008.         },
  16009.         "D": {
  16010.           "direction": "input",
  16011.           "bits": [ 3 ]
  16012.         },
  16013.         "SP": {
  16014.           "direction": "input",
  16015.           "bits": [ 4 ]
  16016.         },
  16017.         "SCLK": {
  16018.           "direction": "input",
  16019.           "bits": [ 5 ]
  16020.         },
  16021.         "Q": {
  16022.           "direction": "output",
  16023.           "bits": [ 6 ]
  16024.         }
  16025.       },
  16026.       "cells": {
  16027.       },
  16028.       "netnames": {
  16029.         "D": {
  16030.           "hide_name": 0,
  16031.           "bits": [ 3 ],
  16032.           "attributes": {
  16033.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16034.           }
  16035.         },
  16036.         "PD": {
  16037.           "hide_name": 0,
  16038.           "bits": [ 2 ],
  16039.           "attributes": {
  16040.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16041.           }
  16042.         },
  16043.         "Q": {
  16044.           "hide_name": 0,
  16045.           "bits": [ 6 ],
  16046.           "attributes": {
  16047.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16048.           }
  16049.         },
  16050.         "SCLK": {
  16051.           "hide_name": 0,
  16052.           "bits": [ 5 ],
  16053.           "attributes": {
  16054.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16055.           }
  16056.         },
  16057.         "SP": {
  16058.           "hide_name": 0,
  16059.           "bits": [ 4 ],
  16060.           "attributes": {
  16061.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:579"
  16062.           }
  16063.         }
  16064.       }
  16065.     },
  16066.     "OFS1P3DX": {
  16067.       "attributes": {
  16068.         "blackbox": 1,
  16069.         "cells_not_processed": 1,
  16070.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16071.       },
  16072.       "ports": {
  16073.         "CD": {
  16074.           "direction": "input",
  16075.           "bits": [ 2 ]
  16076.         },
  16077.         "D": {
  16078.           "direction": "input",
  16079.           "bits": [ 3 ]
  16080.         },
  16081.         "SP": {
  16082.           "direction": "input",
  16083.           "bits": [ 4 ]
  16084.         },
  16085.         "SCLK": {
  16086.           "direction": "input",
  16087.           "bits": [ 5 ]
  16088.         },
  16089.         "Q": {
  16090.           "direction": "output",
  16091.           "bits": [ 6 ]
  16092.         }
  16093.       },
  16094.       "cells": {
  16095.       },
  16096.       "netnames": {
  16097.         "CD": {
  16098.           "hide_name": 0,
  16099.           "bits": [ 2 ],
  16100.           "attributes": {
  16101.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16102.           }
  16103.         },
  16104.         "D": {
  16105.           "hide_name": 0,
  16106.           "bits": [ 3 ],
  16107.           "attributes": {
  16108.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16109.           }
  16110.         },
  16111.         "Q": {
  16112.           "hide_name": 0,
  16113.           "bits": [ 6 ],
  16114.           "attributes": {
  16115.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16116.           }
  16117.         },
  16118.         "SCLK": {
  16119.           "hide_name": 0,
  16120.           "bits": [ 5 ],
  16121.           "attributes": {
  16122.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16123.           }
  16124.         },
  16125.         "SP": {
  16126.           "hide_name": 0,
  16127.           "bits": [ 4 ],
  16128.           "attributes": {
  16129.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:580"
  16130.           }
  16131.         }
  16132.       }
  16133.     },
  16134.     "OFS1P3IX": {
  16135.       "attributes": {
  16136.         "blackbox": 1,
  16137.         "cells_not_processed": 1,
  16138.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16139.       },
  16140.       "ports": {
  16141.         "CD": {
  16142.           "direction": "input",
  16143.           "bits": [ 2 ]
  16144.         },
  16145.         "D": {
  16146.           "direction": "input",
  16147.           "bits": [ 3 ]
  16148.         },
  16149.         "SP": {
  16150.           "direction": "input",
  16151.           "bits": [ 4 ]
  16152.         },
  16153.         "SCLK": {
  16154.           "direction": "input",
  16155.           "bits": [ 5 ]
  16156.         },
  16157.         "Q": {
  16158.           "direction": "output",
  16159.           "bits": [ 6 ]
  16160.         }
  16161.       },
  16162.       "cells": {
  16163.       },
  16164.       "netnames": {
  16165.         "CD": {
  16166.           "hide_name": 0,
  16167.           "bits": [ 2 ],
  16168.           "attributes": {
  16169.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16170.           }
  16171.         },
  16172.         "D": {
  16173.           "hide_name": 0,
  16174.           "bits": [ 3 ],
  16175.           "attributes": {
  16176.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16177.           }
  16178.         },
  16179.         "Q": {
  16180.           "hide_name": 0,
  16181.           "bits": [ 6 ],
  16182.           "attributes": {
  16183.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16184.           }
  16185.         },
  16186.         "SCLK": {
  16187.           "hide_name": 0,
  16188.           "bits": [ 5 ],
  16189.           "attributes": {
  16190.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16191.           }
  16192.         },
  16193.         "SP": {
  16194.           "hide_name": 0,
  16195.           "bits": [ 4 ],
  16196.           "attributes": {
  16197.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:581"
  16198.           }
  16199.         }
  16200.       }
  16201.     },
  16202.     "OFS1P3JX": {
  16203.       "attributes": {
  16204.         "blackbox": 1,
  16205.         "cells_not_processed": 1,
  16206.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16207.       },
  16208.       "ports": {
  16209.         "PD": {
  16210.           "direction": "input",
  16211.           "bits": [ 2 ]
  16212.         },
  16213.         "D": {
  16214.           "direction": "input",
  16215.           "bits": [ 3 ]
  16216.         },
  16217.         "SP": {
  16218.           "direction": "input",
  16219.           "bits": [ 4 ]
  16220.         },
  16221.         "SCLK": {
  16222.           "direction": "input",
  16223.           "bits": [ 5 ]
  16224.         },
  16225.         "Q": {
  16226.           "direction": "output",
  16227.           "bits": [ 6 ]
  16228.         }
  16229.       },
  16230.       "cells": {
  16231.       },
  16232.       "netnames": {
  16233.         "D": {
  16234.           "hide_name": 0,
  16235.           "bits": [ 3 ],
  16236.           "attributes": {
  16237.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16238.           }
  16239.         },
  16240.         "PD": {
  16241.           "hide_name": 0,
  16242.           "bits": [ 2 ],
  16243.           "attributes": {
  16244.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16245.           }
  16246.         },
  16247.         "Q": {
  16248.           "hide_name": 0,
  16249.           "bits": [ 6 ],
  16250.           "attributes": {
  16251.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16252.           }
  16253.         },
  16254.         "SCLK": {
  16255.           "hide_name": 0,
  16256.           "bits": [ 5 ],
  16257.           "attributes": {
  16258.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16259.           }
  16260.         },
  16261.         "SP": {
  16262.           "hide_name": 0,
  16263.           "bits": [ 4 ],
  16264.           "attributes": {
  16265.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:582"
  16266.           }
  16267.         }
  16268.       }
  16269.     },
  16270.     "OLVDS": {
  16271.       "attributes": {
  16272.         "blackbox": 1,
  16273.         "cells_not_processed": 1,
  16274.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:571"
  16275.       },
  16276.       "ports": {
  16277.         "A": {
  16278.           "direction": "input",
  16279.           "bits": [ 2 ]
  16280.         },
  16281.         "Z": {
  16282.           "direction": "output",
  16283.           "bits": [ 3 ]
  16284.         },
  16285.         "ZN": {
  16286.           "direction": "output",
  16287.           "bits": [ 4 ]
  16288.         }
  16289.       },
  16290.       "cells": {
  16291.       },
  16292.       "netnames": {
  16293.         "A": {
  16294.           "hide_name": 0,
  16295.           "bits": [ 2 ],
  16296.           "attributes": {
  16297.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:571"
  16298.           }
  16299.         },
  16300.         "Z": {
  16301.           "hide_name": 0,
  16302.           "bits": [ 3 ],
  16303.           "attributes": {
  16304.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:571"
  16305.           }
  16306.         },
  16307.         "ZN": {
  16308.           "hide_name": 0,
  16309.           "bits": [ 4 ],
  16310.           "attributes": {
  16311.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:571"
  16312.           }
  16313.         }
  16314.       }
  16315.     },
  16316.     "OSCG": {
  16317.       "attributes": {
  16318.         "blackbox": 1,
  16319.         "cells_not_processed": 1,
  16320.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:153"
  16321.       },
  16322.       "ports": {
  16323.         "OSC": {
  16324.           "direction": "output",
  16325.           "bits": [ 2 ]
  16326.         }
  16327.       },
  16328.       "cells": {
  16329.       },
  16330.       "netnames": {
  16331.         "OSC": {
  16332.           "hide_name": 0,
  16333.           "bits": [ 2 ],
  16334.           "attributes": {
  16335.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:154"
  16336.           }
  16337.         }
  16338.       }
  16339.     },
  16340.     "OSHX2A": {
  16341.       "attributes": {
  16342.         "blackbox": 1,
  16343.         "cells_not_processed": 1,
  16344.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:252"
  16345.       },
  16346.       "ports": {
  16347.         "D0": {
  16348.           "direction": "input",
  16349.           "bits": [ 2 ]
  16350.         },
  16351.         "D1": {
  16352.           "direction": "input",
  16353.           "bits": [ 3 ]
  16354.         },
  16355.         "RST": {
  16356.           "direction": "input",
  16357.           "bits": [ 4 ]
  16358.         },
  16359.         "ECLK": {
  16360.           "direction": "input",
  16361.           "bits": [ 5 ]
  16362.         },
  16363.         "SCLK": {
  16364.           "direction": "input",
  16365.           "bits": [ 6 ]
  16366.         },
  16367.         "Q": {
  16368.           "direction": "output",
  16369.           "bits": [ 7 ]
  16370.         }
  16371.       },
  16372.       "cells": {
  16373.       },
  16374.       "netnames": {
  16375.         "D0": {
  16376.           "hide_name": 0,
  16377.           "bits": [ 2 ],
  16378.           "attributes": {
  16379.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253"
  16380.           }
  16381.         },
  16382.         "D1": {
  16383.           "hide_name": 0,
  16384.           "bits": [ 3 ],
  16385.           "attributes": {
  16386.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253"
  16387.           }
  16388.         },
  16389.         "ECLK": {
  16390.           "hide_name": 0,
  16391.           "bits": [ 5 ],
  16392.           "attributes": {
  16393.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253"
  16394.           }
  16395.         },
  16396.         "Q": {
  16397.           "hide_name": 0,
  16398.           "bits": [ 7 ],
  16399.           "attributes": {
  16400.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:254"
  16401.           }
  16402.         },
  16403.         "RST": {
  16404.           "hide_name": 0,
  16405.           "bits": [ 4 ],
  16406.           "attributes": {
  16407.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253"
  16408.           }
  16409.         },
  16410.         "SCLK": {
  16411.           "hide_name": 0,
  16412.           "bits": [ 6 ],
  16413.           "attributes": {
  16414.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:253"
  16415.           }
  16416.         }
  16417.       }
  16418.     },
  16419.     "PCSCLKDIV": {
  16420.       "attributes": {
  16421.         "blackbox": 1,
  16422.         "cells_not_processed": 1,
  16423.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:661"
  16424.       },
  16425.       "ports": {
  16426.         "CLKI": {
  16427.           "direction": "input",
  16428.           "bits": [ 2 ]
  16429.         },
  16430.         "RST": {
  16431.           "direction": "input",
  16432.           "bits": [ 3 ]
  16433.         },
  16434.         "SEL2": {
  16435.           "direction": "input",
  16436.           "bits": [ 4 ]
  16437.         },
  16438.         "SEL1": {
  16439.           "direction": "input",
  16440.           "bits": [ 5 ]
  16441.         },
  16442.         "SEL0": {
  16443.           "direction": "input",
  16444.           "bits": [ 6 ]
  16445.         },
  16446.         "CDIV1": {
  16447.           "direction": "output",
  16448.           "bits": [ 7 ]
  16449.         },
  16450.         "CDIVX": {
  16451.           "direction": "output",
  16452.           "bits": [ 8 ]
  16453.         }
  16454.       },
  16455.       "cells": {
  16456.       },
  16457.       "netnames": {
  16458.         "CDIV1": {
  16459.           "hide_name": 0,
  16460.           "bits": [ 7 ],
  16461.           "attributes": {
  16462.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:663"
  16463.           }
  16464.         },
  16465.         "CDIVX": {
  16466.           "hide_name": 0,
  16467.           "bits": [ 8 ],
  16468.           "attributes": {
  16469.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:663"
  16470.           }
  16471.         },
  16472.         "CLKI": {
  16473.           "hide_name": 0,
  16474.           "bits": [ 2 ],
  16475.           "attributes": {
  16476.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662"
  16477.           }
  16478.         },
  16479.         "RST": {
  16480.           "hide_name": 0,
  16481.           "bits": [ 3 ],
  16482.           "attributes": {
  16483.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662"
  16484.           }
  16485.         },
  16486.         "SEL0": {
  16487.           "hide_name": 0,
  16488.           "bits": [ 6 ],
  16489.           "attributes": {
  16490.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662"
  16491.           }
  16492.         },
  16493.         "SEL1": {
  16494.           "hide_name": 0,
  16495.           "bits": [ 5 ],
  16496.           "attributes": {
  16497.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662"
  16498.           }
  16499.         },
  16500.         "SEL2": {
  16501.           "hide_name": 0,
  16502.           "bits": [ 4 ],
  16503.           "attributes": {
  16504.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:662"
  16505.           }
  16506.         }
  16507.       }
  16508.     },
  16509.     "PFUMX": {
  16510.       "attributes": {
  16511.         "blackbox": 1,
  16512.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94"
  16513.       },
  16514.       "ports": {
  16515.         "ALUT": {
  16516.           "direction": "input",
  16517.           "bits": [ 2 ]
  16518.         },
  16519.         "BLUT": {
  16520.           "direction": "input",
  16521.           "bits": [ 3 ]
  16522.         },
  16523.         "C0": {
  16524.           "direction": "input",
  16525.           "bits": [ 4 ]
  16526.         },
  16527.         "Z": {
  16528.           "direction": "output",
  16529.           "bits": [ 5 ]
  16530.         }
  16531.       },
  16532.       "cells": {
  16533.       },
  16534.       "netnames": {
  16535.         "ALUT": {
  16536.           "hide_name": 0,
  16537.           "bits": [ 2 ],
  16538.           "attributes": {
  16539.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94"
  16540.           }
  16541.         },
  16542.         "BLUT": {
  16543.           "hide_name": 0,
  16544.           "bits": [ 3 ],
  16545.           "attributes": {
  16546.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94"
  16547.           }
  16548.         },
  16549.         "C0": {
  16550.           "hide_name": 0,
  16551.           "bits": [ 4 ],
  16552.           "attributes": {
  16553.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94"
  16554.           }
  16555.         },
  16556.         "Z": {
  16557.           "hide_name": 0,
  16558.           "bits": [ 5 ],
  16559.           "attributes": {
  16560.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:94"
  16561.           }
  16562.         }
  16563.       }
  16564.     },
  16565.     "TRELLIS_DPR16X4": {
  16566.       "attributes": {
  16567.         "blackbox": 1,
  16568.         "cells_not_processed": 1,
  16569.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:100"
  16570.       },
  16571.       "ports": {
  16572.         "DI": {
  16573.           "direction": "input",
  16574.           "bits": [ 2, 3, 4, 5 ]
  16575.         },
  16576.         "WAD": {
  16577.           "direction": "input",
  16578.           "bits": [ 6, 7, 8, 9 ]
  16579.         },
  16580.         "WRE": {
  16581.           "direction": "input",
  16582.           "bits": [ 10 ]
  16583.         },
  16584.         "WCK": {
  16585.           "direction": "input",
  16586.           "bits": [ 11 ]
  16587.         },
  16588.         "RAD": {
  16589.           "direction": "input",
  16590.           "bits": [ 12, 13, 14, 15 ]
  16591.         },
  16592.         "DO": {
  16593.           "direction": "output",
  16594.           "bits": [ 16, 17, 18, 19 ]
  16595.         }
  16596.       },
  16597.       "cells": {
  16598.       },
  16599.       "netnames": {
  16600.         "DI": {
  16601.           "hide_name": 0,
  16602.           "bits": [ 2, 3, 4, 5 ],
  16603.           "attributes": {
  16604.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:101"
  16605.           }
  16606.         },
  16607.         "DO": {
  16608.           "hide_name": 0,
  16609.           "bits": [ 16, 17, 18, 19 ],
  16610.           "attributes": {
  16611.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:105"
  16612.           }
  16613.         },
  16614.         "RAD": {
  16615.           "hide_name": 0,
  16616.           "bits": [ 12, 13, 14, 15 ],
  16617.           "attributes": {
  16618.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:104"
  16619.           }
  16620.         },
  16621.         "WAD": {
  16622.           "hide_name": 0,
  16623.           "bits": [ 6, 7, 8, 9 ],
  16624.           "attributes": {
  16625.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:102"
  16626.           }
  16627.         },
  16628.         "WCK": {
  16629.           "hide_name": 0,
  16630.           "bits": [ 11 ],
  16631.           "attributes": {
  16632.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:103"
  16633.           }
  16634.         },
  16635.         "WRE": {
  16636.           "hide_name": 0,
  16637.           "bits": [ 10 ],
  16638.           "attributes": {
  16639.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:103"
  16640.           }
  16641.         }
  16642.       }
  16643.     },
  16644.     "TRELLIS_FF": {
  16645.       "attributes": {
  16646.         "blackbox": 1,
  16647.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16648.       },
  16649.       "ports": {
  16650.         "CLK": {
  16651.           "direction": "input",
  16652.           "bits": [ 2 ]
  16653.         },
  16654.         "LSR": {
  16655.           "direction": "input",
  16656.           "bits": [ 3 ]
  16657.         },
  16658.         "CE": {
  16659.           "direction": "input",
  16660.           "bits": [ 4 ]
  16661.         },
  16662.         "DI": {
  16663.           "direction": "input",
  16664.           "bits": [ 5 ]
  16665.         },
  16666.         "M": {
  16667.           "direction": "input",
  16668.           "bits": [ 6 ]
  16669.         },
  16670.         "Q": {
  16671.           "direction": "output",
  16672.           "bits": [ 7 ]
  16673.         }
  16674.       },
  16675.       "cells": {
  16676.       },
  16677.       "netnames": {
  16678.         "CE": {
  16679.           "hide_name": 0,
  16680.           "bits": [ 4 ],
  16681.           "attributes": {
  16682.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16683.           }
  16684.         },
  16685.         "CLK": {
  16686.           "hide_name": 0,
  16687.           "bits": [ 2 ],
  16688.           "attributes": {
  16689.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16690.           }
  16691.         },
  16692.         "DI": {
  16693.           "hide_name": 0,
  16694.           "bits": [ 5 ],
  16695.           "attributes": {
  16696.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16697.           }
  16698.         },
  16699.         "LSR": {
  16700.           "hide_name": 0,
  16701.           "bits": [ 3 ],
  16702.           "attributes": {
  16703.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16704.           }
  16705.         },
  16706.         "M": {
  16707.           "hide_name": 0,
  16708.           "bits": [ 6 ],
  16709.           "attributes": {
  16710.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16711.           }
  16712.         },
  16713.         "Q": {
  16714.           "hide_name": 0,
  16715.           "bits": [ 7 ],
  16716.           "attributes": {
  16717.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:206"
  16718.           }
  16719.         }
  16720.       }
  16721.     },
  16722.     "TRELLIS_IO": {
  16723.       "attributes": {
  16724.         "blackbox": 1,
  16725.         "keep": 1,
  16726.         "cells_not_processed": 1,
  16727.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:255"
  16728.       },
  16729.       "ports": {
  16730.         "B": {
  16731.           "direction": "inout",
  16732.           "bits": [ 2 ]
  16733.         },
  16734.         "I": {
  16735.           "direction": "input",
  16736.           "bits": [ 3 ]
  16737.         },
  16738.         "T": {
  16739.           "direction": "input",
  16740.           "bits": [ 4 ]
  16741.         },
  16742.         "O": {
  16743.           "direction": "output",
  16744.           "bits": [ 5 ]
  16745.         }
  16746.       },
  16747.       "cells": {
  16748.       },
  16749.       "netnames": {
  16750.         "B": {
  16751.           "hide_name": 0,
  16752.           "bits": [ 2 ],
  16753.           "attributes": {
  16754.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:256"
  16755.           }
  16756.         },
  16757.         "I": {
  16758.           "hide_name": 0,
  16759.           "bits": [ 3 ],
  16760.           "attributes": {
  16761.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:257"
  16762.           }
  16763.         },
  16764.         "O": {
  16765.           "hide_name": 0,
  16766.           "bits": [ 5 ],
  16767.           "attributes": {
  16768.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:259"
  16769.           }
  16770.         },
  16771.         "T": {
  16772.           "hide_name": 0,
  16773.           "bits": [ 4 ],
  16774.           "attributes": {
  16775.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:258"
  16776.           }
  16777.         }
  16778.       }
  16779.     },
  16780.     "TRELLIS_RAM16X2": {
  16781.       "attributes": {
  16782.         "blackbox": 1,
  16783.         "cells_not_processed": 1,
  16784.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:53"
  16785.       },
  16786.       "ports": {
  16787.         "DI0": {
  16788.           "direction": "input",
  16789.           "bits": [ 2 ]
  16790.         },
  16791.         "DI1": {
  16792.           "direction": "input",
  16793.           "bits": [ 3 ]
  16794.         },
  16795.         "WAD0": {
  16796.           "direction": "input",
  16797.           "bits": [ 4 ]
  16798.         },
  16799.         "WAD1": {
  16800.           "direction": "input",
  16801.           "bits": [ 5 ]
  16802.         },
  16803.         "WAD2": {
  16804.           "direction": "input",
  16805.           "bits": [ 6 ]
  16806.         },
  16807.         "WAD3": {
  16808.           "direction": "input",
  16809.           "bits": [ 7 ]
  16810.         },
  16811.         "WRE": {
  16812.           "direction": "input",
  16813.           "bits": [ 8 ]
  16814.         },
  16815.         "WCK": {
  16816.           "direction": "input",
  16817.           "bits": [ 9 ]
  16818.         },
  16819.         "RAD0": {
  16820.           "direction": "input",
  16821.           "bits": [ 10 ]
  16822.         },
  16823.         "RAD1": {
  16824.           "direction": "input",
  16825.           "bits": [ 11 ]
  16826.         },
  16827.         "RAD2": {
  16828.           "direction": "input",
  16829.           "bits": [ 12 ]
  16830.         },
  16831.         "RAD3": {
  16832.           "direction": "input",
  16833.           "bits": [ 13 ]
  16834.         },
  16835.         "DO0": {
  16836.           "direction": "output",
  16837.           "bits": [ 14 ]
  16838.         },
  16839.         "DO1": {
  16840.           "direction": "output",
  16841.           "bits": [ 15 ]
  16842.         }
  16843.       },
  16844.       "cells": {
  16845.       },
  16846.       "netnames": {
  16847.         "DI0": {
  16848.           "hide_name": 0,
  16849.           "bits": [ 2 ],
  16850.           "attributes": {
  16851.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:54"
  16852.           }
  16853.         },
  16854.         "DI1": {
  16855.           "hide_name": 0,
  16856.           "bits": [ 3 ],
  16857.           "attributes": {
  16858.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:54"
  16859.           }
  16860.         },
  16861.         "DO0": {
  16862.           "hide_name": 0,
  16863.           "bits": [ 14 ],
  16864.           "attributes": {
  16865.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:58"
  16866.           }
  16867.         },
  16868.         "DO1": {
  16869.           "hide_name": 0,
  16870.           "bits": [ 15 ],
  16871.           "attributes": {
  16872.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:58"
  16873.           }
  16874.         },
  16875.         "RAD0": {
  16876.           "hide_name": 0,
  16877.           "bits": [ 10 ],
  16878.           "attributes": {
  16879.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57"
  16880.           }
  16881.         },
  16882.         "RAD1": {
  16883.           "hide_name": 0,
  16884.           "bits": [ 11 ],
  16885.           "attributes": {
  16886.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57"
  16887.           }
  16888.         },
  16889.         "RAD2": {
  16890.           "hide_name": 0,
  16891.           "bits": [ 12 ],
  16892.           "attributes": {
  16893.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57"
  16894.           }
  16895.         },
  16896.         "RAD3": {
  16897.           "hide_name": 0,
  16898.           "bits": [ 13 ],
  16899.           "attributes": {
  16900.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:57"
  16901.           }
  16902.         },
  16903.         "WAD0": {
  16904.           "hide_name": 0,
  16905.           "bits": [ 4 ],
  16906.           "attributes": {
  16907.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55"
  16908.           }
  16909.         },
  16910.         "WAD1": {
  16911.           "hide_name": 0,
  16912.           "bits": [ 5 ],
  16913.           "attributes": {
  16914.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55"
  16915.           }
  16916.         },
  16917.         "WAD2": {
  16918.           "hide_name": 0,
  16919.           "bits": [ 6 ],
  16920.           "attributes": {
  16921.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55"
  16922.           }
  16923.         },
  16924.         "WAD3": {
  16925.           "hide_name": 0,
  16926.           "bits": [ 7 ],
  16927.           "attributes": {
  16928.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:55"
  16929.           }
  16930.         },
  16931.         "WCK": {
  16932.           "hide_name": 0,
  16933.           "bits": [ 9 ],
  16934.           "attributes": {
  16935.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:56"
  16936.           }
  16937.         },
  16938.         "WRE": {
  16939.           "hide_name": 0,
  16940.           "bits": [ 8 ],
  16941.           "attributes": {
  16942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:56"
  16943.           }
  16944.         }
  16945.       }
  16946.     },
  16947.     "TRELLIS_SLICE": {
  16948.       "attributes": {
  16949.         "blackbox": 1,
  16950.         "cells_not_processed": 1,
  16951.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:290"
  16952.       },
  16953.       "ports": {
  16954.         "A0": {
  16955.           "direction": "input",
  16956.           "bits": [ 2 ]
  16957.         },
  16958.         "B0": {
  16959.           "direction": "input",
  16960.           "bits": [ 3 ]
  16961.         },
  16962.         "C0": {
  16963.           "direction": "input",
  16964.           "bits": [ 4 ]
  16965.         },
  16966.         "D0": {
  16967.           "direction": "input",
  16968.           "bits": [ 5 ]
  16969.         },
  16970.         "A1": {
  16971.           "direction": "input",
  16972.           "bits": [ 6 ]
  16973.         },
  16974.         "B1": {
  16975.           "direction": "input",
  16976.           "bits": [ 7 ]
  16977.         },
  16978.         "C1": {
  16979.           "direction": "input",
  16980.           "bits": [ 8 ]
  16981.         },
  16982.         "D1": {
  16983.           "direction": "input",
  16984.           "bits": [ 9 ]
  16985.         },
  16986.         "M0": {
  16987.           "direction": "input",
  16988.           "bits": [ 10 ]
  16989.         },
  16990.         "M1": {
  16991.           "direction": "input",
  16992.           "bits": [ 11 ]
  16993.         },
  16994.         "FCI": {
  16995.           "direction": "input",
  16996.           "bits": [ 12 ]
  16997.         },
  16998.         "FXA": {
  16999.           "direction": "input",
  17000.           "bits": [ 13 ]
  17001.         },
  17002.         "FXB": {
  17003.           "direction": "input",
  17004.           "bits": [ 14 ]
  17005.         },
  17006.         "CLK": {
  17007.           "direction": "input",
  17008.           "bits": [ 15 ]
  17009.         },
  17010.         "LSR": {
  17011.           "direction": "input",
  17012.           "bits": [ 16 ]
  17013.         },
  17014.         "CE": {
  17015.           "direction": "input",
  17016.           "bits": [ 17 ]
  17017.         },
  17018.         "DI0": {
  17019.           "direction": "input",
  17020.           "bits": [ 18 ]
  17021.         },
  17022.         "DI1": {
  17023.           "direction": "input",
  17024.           "bits": [ 19 ]
  17025.         },
  17026.         "WD0": {
  17027.           "direction": "input",
  17028.           "bits": [ 20 ]
  17029.         },
  17030.         "WD1": {
  17031.           "direction": "input",
  17032.           "bits": [ 21 ]
  17033.         },
  17034.         "WAD0": {
  17035.           "direction": "input",
  17036.           "bits": [ 22 ]
  17037.         },
  17038.         "WAD1": {
  17039.           "direction": "input",
  17040.           "bits": [ 23 ]
  17041.         },
  17042.         "WAD2": {
  17043.           "direction": "input",
  17044.           "bits": [ 24 ]
  17045.         },
  17046.         "WAD3": {
  17047.           "direction": "input",
  17048.           "bits": [ 25 ]
  17049.         },
  17050.         "WRE": {
  17051.           "direction": "input",
  17052.           "bits": [ 26 ]
  17053.         },
  17054.         "WCK": {
  17055.           "direction": "input",
  17056.           "bits": [ 27 ]
  17057.         },
  17058.         "F0": {
  17059.           "direction": "output",
  17060.           "bits": [ 28 ]
  17061.         },
  17062.         "Q0": {
  17063.           "direction": "output",
  17064.           "bits": [ 29 ]
  17065.         },
  17066.         "F1": {
  17067.           "direction": "output",
  17068.           "bits": [ 30 ]
  17069.         },
  17070.         "Q1": {
  17071.           "direction": "output",
  17072.           "bits": [ 31 ]
  17073.         },
  17074.         "FCO": {
  17075.           "direction": "output",
  17076.           "bits": [ 32 ]
  17077.         },
  17078.         "OFX0": {
  17079.           "direction": "output",
  17080.           "bits": [ 33 ]
  17081.         },
  17082.         "OFX1": {
  17083.           "direction": "output",
  17084.           "bits": [ 34 ]
  17085.         },
  17086.         "WDO0": {
  17087.           "direction": "output",
  17088.           "bits": [ 35 ]
  17089.         },
  17090.         "WDO1": {
  17091.           "direction": "output",
  17092.           "bits": [ 36 ]
  17093.         },
  17094.         "WDO2": {
  17095.           "direction": "output",
  17096.           "bits": [ 37 ]
  17097.         },
  17098.         "WDO3": {
  17099.           "direction": "output",
  17100.           "bits": [ 38 ]
  17101.         },
  17102.         "WADO0": {
  17103.           "direction": "output",
  17104.           "bits": [ 39 ]
  17105.         },
  17106.         "WADO1": {
  17107.           "direction": "output",
  17108.           "bits": [ 40 ]
  17109.         },
  17110.         "WADO2": {
  17111.           "direction": "output",
  17112.           "bits": [ 41 ]
  17113.         },
  17114.         "WADO3": {
  17115.           "direction": "output",
  17116.           "bits": [ 42 ]
  17117.         }
  17118.       },
  17119.       "cells": {
  17120.       },
  17121.       "netnames": {
  17122.         "A0": {
  17123.           "hide_name": 0,
  17124.           "bits": [ 2 ],
  17125.           "attributes": {
  17126.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:291"
  17127.           }
  17128.         },
  17129.         "A1": {
  17130.           "hide_name": 0,
  17131.           "bits": [ 6 ],
  17132.           "attributes": {
  17133.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:292"
  17134.           }
  17135.         },
  17136.         "B0": {
  17137.           "hide_name": 0,
  17138.           "bits": [ 3 ],
  17139.           "attributes": {
  17140.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:291"
  17141.           }
  17142.         },
  17143.         "B1": {
  17144.           "hide_name": 0,
  17145.           "bits": [ 7 ],
  17146.           "attributes": {
  17147.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:292"
  17148.           }
  17149.         },
  17150.         "C0": {
  17151.           "hide_name": 0,
  17152.           "bits": [ 4 ],
  17153.           "attributes": {
  17154.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:291"
  17155.           }
  17156.         },
  17157.         "C1": {
  17158.           "hide_name": 0,
  17159.           "bits": [ 8 ],
  17160.           "attributes": {
  17161.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:292"
  17162.           }
  17163.         },
  17164.         "CE": {
  17165.           "hide_name": 0,
  17166.           "bits": [ 17 ],
  17167.           "attributes": {
  17168.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296"
  17169.           }
  17170.         },
  17171.         "CLK": {
  17172.           "hide_name": 0,
  17173.           "bits": [ 15 ],
  17174.           "attributes": {
  17175.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296"
  17176.           }
  17177.         },
  17178.         "D0": {
  17179.           "hide_name": 0,
  17180.           "bits": [ 5 ],
  17181.           "attributes": {
  17182.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:291"
  17183.           }
  17184.         },
  17185.         "D1": {
  17186.           "hide_name": 0,
  17187.           "bits": [ 9 ],
  17188.           "attributes": {
  17189.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:292"
  17190.           }
  17191.         },
  17192.         "DI0": {
  17193.           "hide_name": 0,
  17194.           "bits": [ 18 ],
  17195.           "attributes": {
  17196.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:297"
  17197.           }
  17198.         },
  17199.         "DI1": {
  17200.           "hide_name": 0,
  17201.           "bits": [ 19 ],
  17202.           "attributes": {
  17203.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:297"
  17204.           }
  17205.         },
  17206.         "F0": {
  17207.           "hide_name": 0,
  17208.           "bits": [ 28 ],
  17209.           "attributes": {
  17210.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303"
  17211.           }
  17212.         },
  17213.         "F1": {
  17214.           "hide_name": 0,
  17215.           "bits": [ 30 ],
  17216.           "attributes": {
  17217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:304"
  17218.           }
  17219.         },
  17220.         "FCI": {
  17221.           "hide_name": 0,
  17222.           "bits": [ 12 ],
  17223.           "attributes": {
  17224.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:294"
  17225.           }
  17226.         },
  17227.         "FCO": {
  17228.           "hide_name": 0,
  17229.           "bits": [ 32 ],
  17230.           "attributes": {
  17231.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:305"
  17232.           }
  17233.         },
  17234.         "FXA": {
  17235.           "hide_name": 0,
  17236.           "bits": [ 13 ],
  17237.           "attributes": {
  17238.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:294"
  17239.           }
  17240.         },
  17241.         "FXB": {
  17242.           "hide_name": 0,
  17243.           "bits": [ 14 ],
  17244.           "attributes": {
  17245.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:294"
  17246.           }
  17247.         },
  17248.         "LSR": {
  17249.           "hide_name": 0,
  17250.           "bits": [ 16 ],
  17251.           "attributes": {
  17252.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:296"
  17253.           }
  17254.         },
  17255.         "M0": {
  17256.           "hide_name": 0,
  17257.           "bits": [ 10 ],
  17258.           "attributes": {
  17259.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:293"
  17260.           }
  17261.         },
  17262.         "M1": {
  17263.           "hide_name": 0,
  17264.           "bits": [ 11 ],
  17265.           "attributes": {
  17266.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:293"
  17267.           }
  17268.         },
  17269.         "OFX0": {
  17270.           "hide_name": 0,
  17271.           "bits": [ 33 ],
  17272.           "attributes": {
  17273.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:305"
  17274.           }
  17275.         },
  17276.         "OFX1": {
  17277.           "hide_name": 0,
  17278.           "bits": [ 34 ],
  17279.           "attributes": {
  17280.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:305"
  17281.           }
  17282.         },
  17283.         "Q0": {
  17284.           "hide_name": 0,
  17285.           "bits": [ 29 ],
  17286.           "attributes": {
  17287.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:303"
  17288.           }
  17289.         },
  17290.         "Q1": {
  17291.           "hide_name": 0,
  17292.           "bits": [ 31 ],
  17293.           "attributes": {
  17294.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:304"
  17295.           }
  17296.         },
  17297.         "WAD0": {
  17298.           "hide_name": 0,
  17299.           "bits": [ 22 ],
  17300.           "attributes": {
  17301.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300"
  17302.           }
  17303.         },
  17304.         "WAD1": {
  17305.           "hide_name": 0,
  17306.           "bits": [ 23 ],
  17307.           "attributes": {
  17308.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300"
  17309.           }
  17310.         },
  17311.         "WAD2": {
  17312.           "hide_name": 0,
  17313.           "bits": [ 24 ],
  17314.           "attributes": {
  17315.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300"
  17316.           }
  17317.         },
  17318.         "WAD3": {
  17319.           "hide_name": 0,
  17320.           "bits": [ 25 ],
  17321.           "attributes": {
  17322.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:300"
  17323.           }
  17324.         },
  17325.         "WADO0": {
  17326.           "hide_name": 0,
  17327.           "bits": [ 39 ],
  17328.           "attributes": {
  17329.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:308"
  17330.           }
  17331.         },
  17332.         "WADO1": {
  17333.           "hide_name": 0,
  17334.           "bits": [ 40 ],
  17335.           "attributes": {
  17336.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:308"
  17337.           }
  17338.         },
  17339.         "WADO2": {
  17340.           "hide_name": 0,
  17341.           "bits": [ 41 ],
  17342.           "attributes": {
  17343.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:308"
  17344.           }
  17345.         },
  17346.         "WADO3": {
  17347.           "hide_name": 0,
  17348.           "bits": [ 42 ],
  17349.           "attributes": {
  17350.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:308"
  17351.           }
  17352.         },
  17353.         "WCK": {
  17354.           "hide_name": 0,
  17355.           "bits": [ 27 ],
  17356.           "attributes": {
  17357.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:301"
  17358.           }
  17359.         },
  17360.         "WD0": {
  17361.           "hide_name": 0,
  17362.           "bits": [ 20 ],
  17363.           "attributes": {
  17364.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:299"
  17365.           }
  17366.         },
  17367.         "WD1": {
  17368.           "hide_name": 0,
  17369.           "bits": [ 21 ],
  17370.           "attributes": {
  17371.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:299"
  17372.           }
  17373.         },
  17374.         "WDO0": {
  17375.           "hide_name": 0,
  17376.           "bits": [ 35 ],
  17377.           "attributes": {
  17378.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:307"
  17379.           }
  17380.         },
  17381.         "WDO1": {
  17382.           "hide_name": 0,
  17383.           "bits": [ 36 ],
  17384.           "attributes": {
  17385.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:307"
  17386.           }
  17387.         },
  17388.         "WDO2": {
  17389.           "hide_name": 0,
  17390.           "bits": [ 37 ],
  17391.           "attributes": {
  17392.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:307"
  17393.           }
  17394.         },
  17395.         "WDO3": {
  17396.           "hide_name": 0,
  17397.           "bits": [ 38 ],
  17398.           "attributes": {
  17399.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:307"
  17400.           }
  17401.         },
  17402.         "WRE": {
  17403.           "hide_name": 0,
  17404.           "bits": [ 26 ],
  17405.           "attributes": {
  17406.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_sim.v:301"
  17407.           }
  17408.         }
  17409.       }
  17410.     },
  17411.     "TSHX2DQA": {
  17412.       "attributes": {
  17413.         "blackbox": 1,
  17414.         "cells_not_processed": 1,
  17415.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:276"
  17416.       },
  17417.       "ports": {
  17418.         "T0": {
  17419.           "direction": "input",
  17420.           "bits": [ 2 ]
  17421.         },
  17422.         "T1": {
  17423.           "direction": "input",
  17424.           "bits": [ 3 ]
  17425.         },
  17426.         "SCLK": {
  17427.           "direction": "input",
  17428.           "bits": [ 4 ]
  17429.         },
  17430.         "ECLK": {
  17431.           "direction": "input",
  17432.           "bits": [ 5 ]
  17433.         },
  17434.         "DQSW270": {
  17435.           "direction": "input",
  17436.           "bits": [ 6 ]
  17437.         },
  17438.         "RST": {
  17439.           "direction": "input",
  17440.           "bits": [ 7 ]
  17441.         },
  17442.         "Q": {
  17443.           "direction": "output",
  17444.           "bits": [ 8 ]
  17445.         }
  17446.       },
  17447.       "cells": {
  17448.       },
  17449.       "netnames": {
  17450.         "DQSW270": {
  17451.           "hide_name": 0,
  17452.           "bits": [ 6 ],
  17453.           "attributes": {
  17454.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17455.           }
  17456.         },
  17457.         "ECLK": {
  17458.           "hide_name": 0,
  17459.           "bits": [ 5 ],
  17460.           "attributes": {
  17461.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17462.           }
  17463.         },
  17464.         "Q": {
  17465.           "hide_name": 0,
  17466.           "bits": [ 8 ],
  17467.           "attributes": {
  17468.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:278"
  17469.           }
  17470.         },
  17471.         "RST": {
  17472.           "hide_name": 0,
  17473.           "bits": [ 7 ],
  17474.           "attributes": {
  17475.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17476.           }
  17477.         },
  17478.         "SCLK": {
  17479.           "hide_name": 0,
  17480.           "bits": [ 4 ],
  17481.           "attributes": {
  17482.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17483.           }
  17484.         },
  17485.         "T0": {
  17486.           "hide_name": 0,
  17487.           "bits": [ 2 ],
  17488.           "attributes": {
  17489.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17490.           }
  17491.         },
  17492.         "T1": {
  17493.           "hide_name": 0,
  17494.           "bits": [ 3 ],
  17495.           "attributes": {
  17496.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:277"
  17497.           }
  17498.         }
  17499.       }
  17500.     },
  17501.     "TSHX2DQSA": {
  17502.       "attributes": {
  17503.         "blackbox": 1,
  17504.         "cells_not_processed": 1,
  17505.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:285"
  17506.       },
  17507.       "ports": {
  17508.         "T0": {
  17509.           "direction": "input",
  17510.           "bits": [ 2 ]
  17511.         },
  17512.         "T1": {
  17513.           "direction": "input",
  17514.           "bits": [ 3 ]
  17515.         },
  17516.         "SCLK": {
  17517.           "direction": "input",
  17518.           "bits": [ 4 ]
  17519.         },
  17520.         "ECLK": {
  17521.           "direction": "input",
  17522.           "bits": [ 5 ]
  17523.         },
  17524.         "DQSW": {
  17525.           "direction": "input",
  17526.           "bits": [ 6 ]
  17527.         },
  17528.         "RST": {
  17529.           "direction": "input",
  17530.           "bits": [ 7 ]
  17531.         },
  17532.         "Q": {
  17533.           "direction": "output",
  17534.           "bits": [ 8 ]
  17535.         }
  17536.       },
  17537.       "cells": {
  17538.       },
  17539.       "netnames": {
  17540.         "DQSW": {
  17541.           "hide_name": 0,
  17542.           "bits": [ 6 ],
  17543.           "attributes": {
  17544.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17545.           }
  17546.         },
  17547.         "ECLK": {
  17548.           "hide_name": 0,
  17549.           "bits": [ 5 ],
  17550.           "attributes": {
  17551.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17552.           }
  17553.         },
  17554.         "Q": {
  17555.           "hide_name": 0,
  17556.           "bits": [ 8 ],
  17557.           "attributes": {
  17558.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:287"
  17559.           }
  17560.         },
  17561.         "RST": {
  17562.           "hide_name": 0,
  17563.           "bits": [ 7 ],
  17564.           "attributes": {
  17565.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17566.           }
  17567.         },
  17568.         "SCLK": {
  17569.           "hide_name": 0,
  17570.           "bits": [ 4 ],
  17571.           "attributes": {
  17572.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17573.           }
  17574.         },
  17575.         "T0": {
  17576.           "hide_name": 0,
  17577.           "bits": [ 2 ],
  17578.           "attributes": {
  17579.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17580.           }
  17581.         },
  17582.         "T1": {
  17583.           "hide_name": 0,
  17584.           "bits": [ 3 ],
  17585.           "attributes": {
  17586.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:286"
  17587.           }
  17588.         }
  17589.       }
  17590.     },
  17591.     "USRMCLK": {
  17592.       "attributes": {
  17593.         "blackbox": 1,
  17594.         "keep": 1,
  17595.         "cells_not_processed": 1,
  17596.         "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:160"
  17597.       },
  17598.       "ports": {
  17599.         "USRMCLKI": {
  17600.           "direction": "input",
  17601.           "bits": [ 2 ]
  17602.         },
  17603.         "USRMCLKTS": {
  17604.           "direction": "input",
  17605.           "bits": [ 3 ]
  17606.         },
  17607.         "USRMCLKO": {
  17608.           "direction": "output",
  17609.           "bits": [ 4 ]
  17610.         }
  17611.       },
  17612.       "cells": {
  17613.       },
  17614.       "netnames": {
  17615.         "USRMCLKI": {
  17616.           "hide_name": 0,
  17617.           "bits": [ 2 ],
  17618.           "attributes": {
  17619.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161"
  17620.           }
  17621.         },
  17622.         "USRMCLKO": {
  17623.           "hide_name": 0,
  17624.           "bits": [ 4 ],
  17625.           "attributes": {
  17626.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:162"
  17627.           }
  17628.         },
  17629.         "USRMCLKTS": {
  17630.           "hide_name": 0,
  17631.           "bits": [ 3 ],
  17632.           "attributes": {
  17633.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_bb.v:161"
  17634.           }
  17635.         }
  17636.       }
  17637.     },
  17638.     "top": {
  17639.       "attributes": {
  17640.         "dynports": 1,
  17641.         "top": 1,
  17642.         "src": "blinky.v:1"
  17643.       },
  17644.       "ports": {
  17645.         "clk": {
  17646.           "direction": "input",
  17647.           "bits": [ 2 ]
  17648.         },
  17649.         "btn": {
  17650.           "direction": "input",
  17651.           "bits": [ 3 ]
  17652.         },
  17653.         "led": {
  17654.           "direction": "output",
  17655.           "bits": [ 4, 5, 6, 7, 8, 9, 10, 11 ]
  17656.         }
  17657.       },
  17658.       "cells": {
  17659.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1949": {
  17660.           "hide_name": 1,
  17661.           "type": "LUT4",
  17662.           "parameters": {
  17663.             "INIT": 1
  17664.           },
  17665.           "attributes": {
  17666.             "module_not_derived": 1,
  17667.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17668.           },
  17669.           "port_directions": {
  17670.             "A": "input",
  17671.             "B": "input",
  17672.             "C": "input",
  17673.             "D": "input",
  17674.             "Z": "output"
  17675.           },
  17676.           "connections": {
  17677.             "A": [ 12 ],
  17678.             "B": [ 13 ],
  17679.             "C": [ 14 ],
  17680.             "D": [ "0" ],
  17681.             "Z": [ 15 ]
  17682.           }
  17683.         },
  17684.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1950": {
  17685.           "hide_name": 1,
  17686.           "type": "LUT4",
  17687.           "parameters": {
  17688.             "INIT": 128
  17689.           },
  17690.           "attributes": {
  17691.             "module_not_derived": 1,
  17692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17693.           },
  17694.           "port_directions": {
  17695.             "A": "input",
  17696.             "B": "input",
  17697.             "C": "input",
  17698.             "D": "input",
  17699.             "Z": "output"
  17700.           },
  17701.           "connections": {
  17702.             "A": [ 12 ],
  17703.             "B": [ 13 ],
  17704.             "C": [ 14 ],
  17705.             "D": [ "0" ],
  17706.             "Z": [ 16 ]
  17707.           }
  17708.         },
  17709.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1951": {
  17710.           "hide_name": 1,
  17711.           "type": "LUT4",
  17712.           "parameters": {
  17713.             "INIT": 16
  17714.           },
  17715.           "attributes": {
  17716.             "module_not_derived": 1,
  17717.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17718.           },
  17719.           "port_directions": {
  17720.             "A": "input",
  17721.             "B": "input",
  17722.             "C": "input",
  17723.             "D": "input",
  17724.             "Z": "output"
  17725.           },
  17726.           "connections": {
  17727.             "A": [ 12 ],
  17728.             "B": [ 13 ],
  17729.             "C": [ 14 ],
  17730.             "D": [ "0" ],
  17731.             "Z": [ 17 ]
  17732.           }
  17733.         },
  17734.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1952": {
  17735.           "hide_name": 1,
  17736.           "type": "LUT4",
  17737.           "parameters": {
  17738.             "INIT": 16
  17739.           },
  17740.           "attributes": {
  17741.             "module_not_derived": 1,
  17742.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17743.           },
  17744.           "port_directions": {
  17745.             "A": "input",
  17746.             "B": "input",
  17747.             "C": "input",
  17748.             "D": "input",
  17749.             "Z": "output"
  17750.           },
  17751.           "connections": {
  17752.             "A": [ 13 ],
  17753.             "B": [ 14 ],
  17754.             "C": [ 12 ],
  17755.             "D": [ "0" ],
  17756.             "Z": [ 18 ]
  17757.           }
  17758.         },
  17759.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1953": {
  17760.           "hide_name": 1,
  17761.           "type": "LUT4",
  17762.           "parameters": {
  17763.             "INIT": 64
  17764.           },
  17765.           "attributes": {
  17766.             "module_not_derived": 1,
  17767.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17768.           },
  17769.           "port_directions": {
  17770.             "A": "input",
  17771.             "B": "input",
  17772.             "C": "input",
  17773.             "D": "input",
  17774.             "Z": "output"
  17775.           },
  17776.           "connections": {
  17777.             "A": [ 13 ],
  17778.             "B": [ 12 ],
  17779.             "C": [ 14 ],
  17780.             "D": [ "0" ],
  17781.             "Z": [ 19 ]
  17782.           }
  17783.         },
  17784.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1954": {
  17785.           "hide_name": 1,
  17786.           "type": "LUT4",
  17787.           "parameters": {
  17788.             "INIT": 16
  17789.           },
  17790.           "attributes": {
  17791.             "module_not_derived": 1,
  17792.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17793.           },
  17794.           "port_directions": {
  17795.             "A": "input",
  17796.             "B": "input",
  17797.             "C": "input",
  17798.             "D": "input",
  17799.             "Z": "output"
  17800.           },
  17801.           "connections": {
  17802.             "A": [ 12 ],
  17803.             "B": [ 14 ],
  17804.             "C": [ 13 ],
  17805.             "D": [ "0" ],
  17806.             "Z": [ 20 ]
  17807.           }
  17808.         },
  17809.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1955": {
  17810.           "hide_name": 1,
  17811.           "type": "LUT4",
  17812.           "parameters": {
  17813.             "INIT": 64
  17814.           },
  17815.           "attributes": {
  17816.             "module_not_derived": 1,
  17817.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17818.           },
  17819.           "port_directions": {
  17820.             "A": "input",
  17821.             "B": "input",
  17822.             "C": "input",
  17823.             "D": "input",
  17824.             "Z": "output"
  17825.           },
  17826.           "connections": {
  17827.             "A": [ 12 ],
  17828.             "B": [ 13 ],
  17829.             "C": [ 14 ],
  17830.             "D": [ "0" ],
  17831.             "Z": [ 21 ]
  17832.           }
  17833.         },
  17834.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1956": {
  17835.           "hide_name": 1,
  17836.           "type": "LUT4",
  17837.           "parameters": {
  17838.             "INIT": 64
  17839.           },
  17840.           "attributes": {
  17841.             "module_not_derived": 1,
  17842.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17843.           },
  17844.           "port_directions": {
  17845.             "A": "input",
  17846.             "B": "input",
  17847.             "C": "input",
  17848.             "D": "input",
  17849.             "Z": "output"
  17850.           },
  17851.           "connections": {
  17852.             "A": [ 14 ],
  17853.             "B": [ 13 ],
  17854.             "C": [ 12 ],
  17855.             "D": [ "0" ],
  17856.             "Z": [ 22 ]
  17857.           }
  17858.         },
  17859.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1957": {
  17860.           "hide_name": 1,
  17861.           "type": "LUT4",
  17862.           "parameters": {
  17863.             "INIT": 8
  17864.           },
  17865.           "attributes": {
  17866.             "module_not_derived": 1,
  17867.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  17868.           },
  17869.           "port_directions": {
  17870.             "A": "input",
  17871.             "B": "input",
  17872.             "C": "input",
  17873.             "D": "input",
  17874.             "Z": "output"
  17875.           },
  17876.           "connections": {
  17877.             "A": [ 15 ],
  17878.             "B": [ 23 ],
  17879.             "C": [ "0" ],
  17880.             "D": [ "0" ],
  17881.             "Z": [ 24 ]
  17882.           }
  17883.         },
  17884.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1958": {
  17885.           "hide_name": 1,
  17886.           "type": "LUT4",
  17887.           "parameters": {
  17888.             "INIT": 4
  17889.           },
  17890.           "attributes": {
  17891.             "module_not_derived": 1,
  17892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  17893.           },
  17894.           "port_directions": {
  17895.             "A": "input",
  17896.             "B": "input",
  17897.             "C": "input",
  17898.             "D": "input",
  17899.             "Z": "output"
  17900.           },
  17901.           "connections": {
  17902.             "A": [ 23 ],
  17903.             "B": [ 16 ],
  17904.             "C": [ "0" ],
  17905.             "D": [ "0" ],
  17906.             "Z": [ 25 ]
  17907.           }
  17908.         },
  17909.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1959": {
  17910.           "hide_name": 1,
  17911.           "type": "LUT4",
  17912.           "parameters": {
  17913.             "INIT": 172
  17914.           },
  17915.           "attributes": {
  17916.             "module_not_derived": 1,
  17917.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17918.           },
  17919.           "port_directions": {
  17920.             "A": "input",
  17921.             "B": "input",
  17922.             "C": "input",
  17923.             "D": "input",
  17924.             "Z": "output"
  17925.           },
  17926.           "connections": {
  17927.             "A": [ 26 ],
  17928.             "B": [ 27 ],
  17929.             "C": [ 23 ],
  17930.             "D": [ "0" ],
  17931.             "Z": [ 28 ]
  17932.           }
  17933.         },
  17934.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1960": {
  17935.           "hide_name": 1,
  17936.           "type": "LUT4",
  17937.           "parameters": {
  17938.             "INIT": 172
  17939.           },
  17940.           "attributes": {
  17941.             "module_not_derived": 1,
  17942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17943.           },
  17944.           "port_directions": {
  17945.             "A": "input",
  17946.             "B": "input",
  17947.             "C": "input",
  17948.             "D": "input",
  17949.             "Z": "output"
  17950.           },
  17951.           "connections": {
  17952.             "A": [ 29 ],
  17953.             "B": [ 30 ],
  17954.             "C": [ 23 ],
  17955.             "D": [ "0" ],
  17956.             "Z": [ 31 ]
  17957.           }
  17958.         },
  17959.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1961": {
  17960.           "hide_name": 1,
  17961.           "type": "LUT4",
  17962.           "parameters": {
  17963.             "INIT": 172
  17964.           },
  17965.           "attributes": {
  17966.             "module_not_derived": 1,
  17967.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17968.           },
  17969.           "port_directions": {
  17970.             "A": "input",
  17971.             "B": "input",
  17972.             "C": "input",
  17973.             "D": "input",
  17974.             "Z": "output"
  17975.           },
  17976.           "connections": {
  17977.             "A": [ 32 ],
  17978.             "B": [ 33 ],
  17979.             "C": [ 23 ],
  17980.             "D": [ "0" ],
  17981.             "Z": [ 34 ]
  17982.           }
  17983.         },
  17984.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1962": {
  17985.           "hide_name": 1,
  17986.           "type": "LUT4",
  17987.           "parameters": {
  17988.             "INIT": 172
  17989.           },
  17990.           "attributes": {
  17991.             "module_not_derived": 1,
  17992.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  17993.           },
  17994.           "port_directions": {
  17995.             "A": "input",
  17996.             "B": "input",
  17997.             "C": "input",
  17998.             "D": "input",
  17999.             "Z": "output"
  18000.           },
  18001.           "connections": {
  18002.             "A": [ 35 ],
  18003.             "B": [ 36 ],
  18004.             "C": [ 23 ],
  18005.             "D": [ "0" ],
  18006.             "Z": [ 37 ]
  18007.           }
  18008.         },
  18009.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1963": {
  18010.           "hide_name": 1,
  18011.           "type": "LUT4",
  18012.           "parameters": {
  18013.             "INIT": 172
  18014.           },
  18015.           "attributes": {
  18016.             "module_not_derived": 1,
  18017.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18018.           },
  18019.           "port_directions": {
  18020.             "A": "input",
  18021.             "B": "input",
  18022.             "C": "input",
  18023.             "D": "input",
  18024.             "Z": "output"
  18025.           },
  18026.           "connections": {
  18027.             "A": [ 38 ],
  18028.             "B": [ 39 ],
  18029.             "C": [ 23 ],
  18030.             "D": [ "0" ],
  18031.             "Z": [ 40 ]
  18032.           }
  18033.         },
  18034.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1964": {
  18035.           "hide_name": 1,
  18036.           "type": "LUT4",
  18037.           "parameters": {
  18038.             "INIT": 172
  18039.           },
  18040.           "attributes": {
  18041.             "module_not_derived": 1,
  18042.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18043.           },
  18044.           "port_directions": {
  18045.             "A": "input",
  18046.             "B": "input",
  18047.             "C": "input",
  18048.             "D": "input",
  18049.             "Z": "output"
  18050.           },
  18051.           "connections": {
  18052.             "A": [ 41 ],
  18053.             "B": [ 42 ],
  18054.             "C": [ 23 ],
  18055.             "D": [ "0" ],
  18056.             "Z": [ 43 ]
  18057.           }
  18058.         },
  18059.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1965": {
  18060.           "hide_name": 1,
  18061.           "type": "LUT4",
  18062.           "parameters": {
  18063.             "INIT": 172
  18064.           },
  18065.           "attributes": {
  18066.             "module_not_derived": 1,
  18067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18068.           },
  18069.           "port_directions": {
  18070.             "A": "input",
  18071.             "B": "input",
  18072.             "C": "input",
  18073.             "D": "input",
  18074.             "Z": "output"
  18075.           },
  18076.           "connections": {
  18077.             "A": [ 44 ],
  18078.             "B": [ 45 ],
  18079.             "C": [ 23 ],
  18080.             "D": [ "0" ],
  18081.             "Z": [ 46 ]
  18082.           }
  18083.         },
  18084.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1966": {
  18085.           "hide_name": 1,
  18086.           "type": "LUT4",
  18087.           "parameters": {
  18088.             "INIT": 172
  18089.           },
  18090.           "attributes": {
  18091.             "module_not_derived": 1,
  18092.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18093.           },
  18094.           "port_directions": {
  18095.             "A": "input",
  18096.             "B": "input",
  18097.             "C": "input",
  18098.             "D": "input",
  18099.             "Z": "output"
  18100.           },
  18101.           "connections": {
  18102.             "A": [ 47 ],
  18103.             "B": [ 48 ],
  18104.             "C": [ 23 ],
  18105.             "D": [ "0" ],
  18106.             "Z": [ 49 ]
  18107.           }
  18108.         },
  18109.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1967": {
  18110.           "hide_name": 1,
  18111.           "type": "LUT4",
  18112.           "parameters": {
  18113.             "INIT": 172
  18114.           },
  18115.           "attributes": {
  18116.             "module_not_derived": 1,
  18117.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18118.           },
  18119.           "port_directions": {
  18120.             "A": "input",
  18121.             "B": "input",
  18122.             "C": "input",
  18123.             "D": "input",
  18124.             "Z": "output"
  18125.           },
  18126.           "connections": {
  18127.             "A": [ 50 ],
  18128.             "B": [ 51 ],
  18129.             "C": [ 23 ],
  18130.             "D": [ "0" ],
  18131.             "Z": [ 52 ]
  18132.           }
  18133.         },
  18134.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1968": {
  18135.           "hide_name": 1,
  18136.           "type": "LUT4",
  18137.           "parameters": {
  18138.             "INIT": 172
  18139.           },
  18140.           "attributes": {
  18141.             "module_not_derived": 1,
  18142.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18143.           },
  18144.           "port_directions": {
  18145.             "A": "input",
  18146.             "B": "input",
  18147.             "C": "input",
  18148.             "D": "input",
  18149.             "Z": "output"
  18150.           },
  18151.           "connections": {
  18152.             "A": [ 53 ],
  18153.             "B": [ 54 ],
  18154.             "C": [ 23 ],
  18155.             "D": [ "0" ],
  18156.             "Z": [ 55 ]
  18157.           }
  18158.         },
  18159.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1969": {
  18160.           "hide_name": 1,
  18161.           "type": "LUT4",
  18162.           "parameters": {
  18163.             "INIT": 172
  18164.           },
  18165.           "attributes": {
  18166.             "module_not_derived": 1,
  18167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18168.           },
  18169.           "port_directions": {
  18170.             "A": "input",
  18171.             "B": "input",
  18172.             "C": "input",
  18173.             "D": "input",
  18174.             "Z": "output"
  18175.           },
  18176.           "connections": {
  18177.             "A": [ 56 ],
  18178.             "B": [ 57 ],
  18179.             "C": [ 23 ],
  18180.             "D": [ "0" ],
  18181.             "Z": [ 58 ]
  18182.           }
  18183.         },
  18184.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1970": {
  18185.           "hide_name": 1,
  18186.           "type": "LUT4",
  18187.           "parameters": {
  18188.             "INIT": 172
  18189.           },
  18190.           "attributes": {
  18191.             "module_not_derived": 1,
  18192.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18193.           },
  18194.           "port_directions": {
  18195.             "A": "input",
  18196.             "B": "input",
  18197.             "C": "input",
  18198.             "D": "input",
  18199.             "Z": "output"
  18200.           },
  18201.           "connections": {
  18202.             "A": [ 59 ],
  18203.             "B": [ 60 ],
  18204.             "C": [ 23 ],
  18205.             "D": [ "0" ],
  18206.             "Z": [ 61 ]
  18207.           }
  18208.         },
  18209.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1971": {
  18210.           "hide_name": 1,
  18211.           "type": "LUT4",
  18212.           "parameters": {
  18213.             "INIT": 172
  18214.           },
  18215.           "attributes": {
  18216.             "module_not_derived": 1,
  18217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18218.           },
  18219.           "port_directions": {
  18220.             "A": "input",
  18221.             "B": "input",
  18222.             "C": "input",
  18223.             "D": "input",
  18224.             "Z": "output"
  18225.           },
  18226.           "connections": {
  18227.             "A": [ 62 ],
  18228.             "B": [ 63 ],
  18229.             "C": [ 23 ],
  18230.             "D": [ "0" ],
  18231.             "Z": [ 64 ]
  18232.           }
  18233.         },
  18234.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1972": {
  18235.           "hide_name": 1,
  18236.           "type": "LUT4",
  18237.           "parameters": {
  18238.             "INIT": 172
  18239.           },
  18240.           "attributes": {
  18241.             "module_not_derived": 1,
  18242.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18243.           },
  18244.           "port_directions": {
  18245.             "A": "input",
  18246.             "B": "input",
  18247.             "C": "input",
  18248.             "D": "input",
  18249.             "Z": "output"
  18250.           },
  18251.           "connections": {
  18252.             "A": [ 65 ],
  18253.             "B": [ 66 ],
  18254.             "C": [ 23 ],
  18255.             "D": [ "0" ],
  18256.             "Z": [ 67 ]
  18257.           }
  18258.         },
  18259.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1973": {
  18260.           "hide_name": 1,
  18261.           "type": "LUT4",
  18262.           "parameters": {
  18263.             "INIT": 172
  18264.           },
  18265.           "attributes": {
  18266.             "module_not_derived": 1,
  18267.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18268.           },
  18269.           "port_directions": {
  18270.             "A": "input",
  18271.             "B": "input",
  18272.             "C": "input",
  18273.             "D": "input",
  18274.             "Z": "output"
  18275.           },
  18276.           "connections": {
  18277.             "A": [ 68 ],
  18278.             "B": [ 69 ],
  18279.             "C": [ 23 ],
  18280.             "D": [ "0" ],
  18281.             "Z": [ 70 ]
  18282.           }
  18283.         },
  18284.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1974": {
  18285.           "hide_name": 1,
  18286.           "type": "LUT4",
  18287.           "parameters": {
  18288.             "INIT": 172
  18289.           },
  18290.           "attributes": {
  18291.             "module_not_derived": 1,
  18292.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18293.           },
  18294.           "port_directions": {
  18295.             "A": "input",
  18296.             "B": "input",
  18297.             "C": "input",
  18298.             "D": "input",
  18299.             "Z": "output"
  18300.           },
  18301.           "connections": {
  18302.             "A": [ 71 ],
  18303.             "B": [ 72 ],
  18304.             "C": [ 23 ],
  18305.             "D": [ "0" ],
  18306.             "Z": [ 73 ]
  18307.           }
  18308.         },
  18309.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1975": {
  18310.           "hide_name": 1,
  18311.           "type": "LUT4",
  18312.           "parameters": {
  18313.             "INIT": 172
  18314.           },
  18315.           "attributes": {
  18316.             "module_not_derived": 1,
  18317.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18318.           },
  18319.           "port_directions": {
  18320.             "A": "input",
  18321.             "B": "input",
  18322.             "C": "input",
  18323.             "D": "input",
  18324.             "Z": "output"
  18325.           },
  18326.           "connections": {
  18327.             "A": [ 74 ],
  18328.             "B": [ 75 ],
  18329.             "C": [ 23 ],
  18330.             "D": [ "0" ],
  18331.             "Z": [ 76 ]
  18332.           }
  18333.         },
  18334.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1976": {
  18335.           "hide_name": 1,
  18336.           "type": "LUT4",
  18337.           "parameters": {
  18338.             "INIT": 172
  18339.           },
  18340.           "attributes": {
  18341.             "module_not_derived": 1,
  18342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18343.           },
  18344.           "port_directions": {
  18345.             "A": "input",
  18346.             "B": "input",
  18347.             "C": "input",
  18348.             "D": "input",
  18349.             "Z": "output"
  18350.           },
  18351.           "connections": {
  18352.             "A": [ 77 ],
  18353.             "B": [ 78 ],
  18354.             "C": [ 23 ],
  18355.             "D": [ "0" ],
  18356.             "Z": [ 79 ]
  18357.           }
  18358.         },
  18359.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1977": {
  18360.           "hide_name": 1,
  18361.           "type": "LUT4",
  18362.           "parameters": {
  18363.             "INIT": 172
  18364.           },
  18365.           "attributes": {
  18366.             "module_not_derived": 1,
  18367.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18368.           },
  18369.           "port_directions": {
  18370.             "A": "input",
  18371.             "B": "input",
  18372.             "C": "input",
  18373.             "D": "input",
  18374.             "Z": "output"
  18375.           },
  18376.           "connections": {
  18377.             "A": [ 80 ],
  18378.             "B": [ 81 ],
  18379.             "C": [ 23 ],
  18380.             "D": [ "0" ],
  18381.             "Z": [ 82 ]
  18382.           }
  18383.         },
  18384.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1978": {
  18385.           "hide_name": 1,
  18386.           "type": "LUT4",
  18387.           "parameters": {
  18388.             "INIT": 172
  18389.           },
  18390.           "attributes": {
  18391.             "module_not_derived": 1,
  18392.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18393.           },
  18394.           "port_directions": {
  18395.             "A": "input",
  18396.             "B": "input",
  18397.             "C": "input",
  18398.             "D": "input",
  18399.             "Z": "output"
  18400.           },
  18401.           "connections": {
  18402.             "A": [ 83 ],
  18403.             "B": [ 84 ],
  18404.             "C": [ 23 ],
  18405.             "D": [ "0" ],
  18406.             "Z": [ 85 ]
  18407.           }
  18408.         },
  18409.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1979": {
  18410.           "hide_name": 1,
  18411.           "type": "LUT4",
  18412.           "parameters": {
  18413.             "INIT": 172
  18414.           },
  18415.           "attributes": {
  18416.             "module_not_derived": 1,
  18417.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18418.           },
  18419.           "port_directions": {
  18420.             "A": "input",
  18421.             "B": "input",
  18422.             "C": "input",
  18423.             "D": "input",
  18424.             "Z": "output"
  18425.           },
  18426.           "connections": {
  18427.             "A": [ 86 ],
  18428.             "B": [ 87 ],
  18429.             "C": [ 23 ],
  18430.             "D": [ "0" ],
  18431.             "Z": [ 88 ]
  18432.           }
  18433.         },
  18434.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1980": {
  18435.           "hide_name": 1,
  18436.           "type": "LUT4",
  18437.           "parameters": {
  18438.             "INIT": 172
  18439.           },
  18440.           "attributes": {
  18441.             "module_not_derived": 1,
  18442.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18443.           },
  18444.           "port_directions": {
  18445.             "A": "input",
  18446.             "B": "input",
  18447.             "C": "input",
  18448.             "D": "input",
  18449.             "Z": "output"
  18450.           },
  18451.           "connections": {
  18452.             "A": [ 89 ],
  18453.             "B": [ 90 ],
  18454.             "C": [ 23 ],
  18455.             "D": [ "0" ],
  18456.             "Z": [ 91 ]
  18457.           }
  18458.         },
  18459.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1981": {
  18460.           "hide_name": 1,
  18461.           "type": "LUT4",
  18462.           "parameters": {
  18463.             "INIT": 172
  18464.           },
  18465.           "attributes": {
  18466.             "module_not_derived": 1,
  18467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18468.           },
  18469.           "port_directions": {
  18470.             "A": "input",
  18471.             "B": "input",
  18472.             "C": "input",
  18473.             "D": "input",
  18474.             "Z": "output"
  18475.           },
  18476.           "connections": {
  18477.             "A": [ 92 ],
  18478.             "B": [ 93 ],
  18479.             "C": [ 23 ],
  18480.             "D": [ "0" ],
  18481.             "Z": [ 94 ]
  18482.           }
  18483.         },
  18484.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1982": {
  18485.           "hide_name": 1,
  18486.           "type": "LUT4",
  18487.           "parameters": {
  18488.             "INIT": 172
  18489.           },
  18490.           "attributes": {
  18491.             "module_not_derived": 1,
  18492.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:122"
  18493.           },
  18494.           "port_directions": {
  18495.             "A": "input",
  18496.             "B": "input",
  18497.             "C": "input",
  18498.             "D": "input",
  18499.             "Z": "output"
  18500.           },
  18501.           "connections": {
  18502.             "A": [ 95 ],
  18503.             "B": [ 96 ],
  18504.             "C": [ 23 ],
  18505.             "D": [ "0" ],
  18506.             "Z": [ 97 ]
  18507.           }
  18508.         },
  18509.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1983": {
  18510.           "hide_name": 1,
  18511.           "type": "LUT4",
  18512.           "parameters": {
  18513.             "INIT": 8
  18514.           },
  18515.           "attributes": {
  18516.             "module_not_derived": 1,
  18517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18518.           },
  18519.           "port_directions": {
  18520.             "A": "input",
  18521.             "B": "input",
  18522.             "C": "input",
  18523.             "D": "input",
  18524.             "Z": "output"
  18525.           },
  18526.           "connections": {
  18527.             "A": [ 22 ],
  18528.             "B": [ 98 ],
  18529.             "C": [ "0" ],
  18530.             "D": [ "0" ],
  18531.             "Z": [ 99 ]
  18532.           }
  18533.         },
  18534.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1984": {
  18535.           "hide_name": 1,
  18536.           "type": "LUT4",
  18537.           "parameters": {
  18538.             "INIT": 8
  18539.           },
  18540.           "attributes": {
  18541.             "module_not_derived": 1,
  18542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18543.           },
  18544.           "port_directions": {
  18545.             "A": "input",
  18546.             "B": "input",
  18547.             "C": "input",
  18548.             "D": "input",
  18549.             "Z": "output"
  18550.           },
  18551.           "connections": {
  18552.             "A": [ 22 ],
  18553.             "B": [ 100 ],
  18554.             "C": [ "0" ],
  18555.             "D": [ "0" ],
  18556.             "Z": [ 101 ]
  18557.           }
  18558.         },
  18559.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1985": {
  18560.           "hide_name": 1,
  18561.           "type": "LUT4",
  18562.           "parameters": {
  18563.             "INIT": 8
  18564.           },
  18565.           "attributes": {
  18566.             "module_not_derived": 1,
  18567.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18568.           },
  18569.           "port_directions": {
  18570.             "A": "input",
  18571.             "B": "input",
  18572.             "C": "input",
  18573.             "D": "input",
  18574.             "Z": "output"
  18575.           },
  18576.           "connections": {
  18577.             "A": [ 22 ],
  18578.             "B": [ 102 ],
  18579.             "C": [ "0" ],
  18580.             "D": [ "0" ],
  18581.             "Z": [ 103 ]
  18582.           }
  18583.         },
  18584.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1986": {
  18585.           "hide_name": 1,
  18586.           "type": "LUT4",
  18587.           "parameters": {
  18588.             "INIT": 8
  18589.           },
  18590.           "attributes": {
  18591.             "module_not_derived": 1,
  18592.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18593.           },
  18594.           "port_directions": {
  18595.             "A": "input",
  18596.             "B": "input",
  18597.             "C": "input",
  18598.             "D": "input",
  18599.             "Z": "output"
  18600.           },
  18601.           "connections": {
  18602.             "A": [ 22 ],
  18603.             "B": [ 104 ],
  18604.             "C": [ "0" ],
  18605.             "D": [ "0" ],
  18606.             "Z": [ 105 ]
  18607.           }
  18608.         },
  18609.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1987": {
  18610.           "hide_name": 1,
  18611.           "type": "LUT4",
  18612.           "parameters": {
  18613.             "INIT": 8
  18614.           },
  18615.           "attributes": {
  18616.             "module_not_derived": 1,
  18617.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18618.           },
  18619.           "port_directions": {
  18620.             "A": "input",
  18621.             "B": "input",
  18622.             "C": "input",
  18623.             "D": "input",
  18624.             "Z": "output"
  18625.           },
  18626.           "connections": {
  18627.             "A": [ 22 ],
  18628.             "B": [ 106 ],
  18629.             "C": [ "0" ],
  18630.             "D": [ "0" ],
  18631.             "Z": [ 107 ]
  18632.           }
  18633.         },
  18634.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1988": {
  18635.           "hide_name": 1,
  18636.           "type": "LUT4",
  18637.           "parameters": {
  18638.             "INIT": 8
  18639.           },
  18640.           "attributes": {
  18641.             "module_not_derived": 1,
  18642.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18643.           },
  18644.           "port_directions": {
  18645.             "A": "input",
  18646.             "B": "input",
  18647.             "C": "input",
  18648.             "D": "input",
  18649.             "Z": "output"
  18650.           },
  18651.           "connections": {
  18652.             "A": [ 22 ],
  18653.             "B": [ 108 ],
  18654.             "C": [ "0" ],
  18655.             "D": [ "0" ],
  18656.             "Z": [ 109 ]
  18657.           }
  18658.         },
  18659.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1989": {
  18660.           "hide_name": 1,
  18661.           "type": "LUT4",
  18662.           "parameters": {
  18663.             "INIT": 8
  18664.           },
  18665.           "attributes": {
  18666.             "module_not_derived": 1,
  18667.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18668.           },
  18669.           "port_directions": {
  18670.             "A": "input",
  18671.             "B": "input",
  18672.             "C": "input",
  18673.             "D": "input",
  18674.             "Z": "output"
  18675.           },
  18676.           "connections": {
  18677.             "A": [ 22 ],
  18678.             "B": [ 110 ],
  18679.             "C": [ "0" ],
  18680.             "D": [ "0" ],
  18681.             "Z": [ 111 ]
  18682.           }
  18683.         },
  18684.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1990": {
  18685.           "hide_name": 1,
  18686.           "type": "LUT4",
  18687.           "parameters": {
  18688.             "INIT": 8
  18689.           },
  18690.           "attributes": {
  18691.             "module_not_derived": 1,
  18692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18693.           },
  18694.           "port_directions": {
  18695.             "A": "input",
  18696.             "B": "input",
  18697.             "C": "input",
  18698.             "D": "input",
  18699.             "Z": "output"
  18700.           },
  18701.           "connections": {
  18702.             "A": [ 22 ],
  18703.             "B": [ 112 ],
  18704.             "C": [ "0" ],
  18705.             "D": [ "0" ],
  18706.             "Z": [ 113 ]
  18707.           }
  18708.         },
  18709.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1991": {
  18710.           "hide_name": 1,
  18711.           "type": "LUT4",
  18712.           "parameters": {
  18713.             "INIT": 8
  18714.           },
  18715.           "attributes": {
  18716.             "module_not_derived": 1,
  18717.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18718.           },
  18719.           "port_directions": {
  18720.             "A": "input",
  18721.             "B": "input",
  18722.             "C": "input",
  18723.             "D": "input",
  18724.             "Z": "output"
  18725.           },
  18726.           "connections": {
  18727.             "A": [ 22 ],
  18728.             "B": [ 114 ],
  18729.             "C": [ "0" ],
  18730.             "D": [ "0" ],
  18731.             "Z": [ 115 ]
  18732.           }
  18733.         },
  18734.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1992": {
  18735.           "hide_name": 1,
  18736.           "type": "LUT4",
  18737.           "parameters": {
  18738.             "INIT": 8
  18739.           },
  18740.           "attributes": {
  18741.             "module_not_derived": 1,
  18742.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  18743.           },
  18744.           "port_directions": {
  18745.             "A": "input",
  18746.             "B": "input",
  18747.             "C": "input",
  18748.             "D": "input",
  18749.             "Z": "output"
  18750.           },
  18751.           "connections": {
  18752.             "A": [ 22 ],
  18753.             "B": [ 116 ],
  18754.             "C": [ "0" ],
  18755.             "D": [ "0" ],
  18756.             "Z": [ 117 ]
  18757.           }
  18758.         },
  18759.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1993": {
  18760.           "hide_name": 1,
  18761.           "type": "LUT4",
  18762.           "parameters": {
  18763.             "INIT": 63624
  18764.           },
  18765.           "attributes": {
  18766.             "module_not_derived": 1,
  18767.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18768.           },
  18769.           "port_directions": {
  18770.             "A": "input",
  18771.             "B": "input",
  18772.             "C": "input",
  18773.             "D": "input",
  18774.             "Z": "output"
  18775.           },
  18776.           "connections": {
  18777.             "A": [ 16 ],
  18778.             "B": [ 118 ],
  18779.             "C": [ 98 ],
  18780.             "D": [ 21 ],
  18781.             "Z": [ 119 ]
  18782.           }
  18783.         },
  18784.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1994": {
  18785.           "hide_name": 1,
  18786.           "type": "LUT4",
  18787.           "parameters": {
  18788.             "INIT": 63624
  18789.           },
  18790.           "attributes": {
  18791.             "module_not_derived": 1,
  18792.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18793.           },
  18794.           "port_directions": {
  18795.             "A": "input",
  18796.             "B": "input",
  18797.             "C": "input",
  18798.             "D": "input",
  18799.             "Z": "output"
  18800.           },
  18801.           "connections": {
  18802.             "A": [ 16 ],
  18803.             "B": [ 120 ],
  18804.             "C": [ 100 ],
  18805.             "D": [ 21 ],
  18806.             "Z": [ 121 ]
  18807.           }
  18808.         },
  18809.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1995": {
  18810.           "hide_name": 1,
  18811.           "type": "LUT4",
  18812.           "parameters": {
  18813.             "INIT": 63624
  18814.           },
  18815.           "attributes": {
  18816.             "module_not_derived": 1,
  18817.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18818.           },
  18819.           "port_directions": {
  18820.             "A": "input",
  18821.             "B": "input",
  18822.             "C": "input",
  18823.             "D": "input",
  18824.             "Z": "output"
  18825.           },
  18826.           "connections": {
  18827.             "A": [ 16 ],
  18828.             "B": [ 122 ],
  18829.             "C": [ 102 ],
  18830.             "D": [ 21 ],
  18831.             "Z": [ 123 ]
  18832.           }
  18833.         },
  18834.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1996": {
  18835.           "hide_name": 1,
  18836.           "type": "LUT4",
  18837.           "parameters": {
  18838.             "INIT": 63624
  18839.           },
  18840.           "attributes": {
  18841.             "module_not_derived": 1,
  18842.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18843.           },
  18844.           "port_directions": {
  18845.             "A": "input",
  18846.             "B": "input",
  18847.             "C": "input",
  18848.             "D": "input",
  18849.             "Z": "output"
  18850.           },
  18851.           "connections": {
  18852.             "A": [ 16 ],
  18853.             "B": [ 124 ],
  18854.             "C": [ 104 ],
  18855.             "D": [ 21 ],
  18856.             "Z": [ 125 ]
  18857.           }
  18858.         },
  18859.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1997": {
  18860.           "hide_name": 1,
  18861.           "type": "LUT4",
  18862.           "parameters": {
  18863.             "INIT": 63624
  18864.           },
  18865.           "attributes": {
  18866.             "module_not_derived": 1,
  18867.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18868.           },
  18869.           "port_directions": {
  18870.             "A": "input",
  18871.             "B": "input",
  18872.             "C": "input",
  18873.             "D": "input",
  18874.             "Z": "output"
  18875.           },
  18876.           "connections": {
  18877.             "A": [ 16 ],
  18878.             "B": [ 126 ],
  18879.             "C": [ 106 ],
  18880.             "D": [ 21 ],
  18881.             "Z": [ 127 ]
  18882.           }
  18883.         },
  18884.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1998": {
  18885.           "hide_name": 1,
  18886.           "type": "LUT4",
  18887.           "parameters": {
  18888.             "INIT": 63624
  18889.           },
  18890.           "attributes": {
  18891.             "module_not_derived": 1,
  18892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18893.           },
  18894.           "port_directions": {
  18895.             "A": "input",
  18896.             "B": "input",
  18897.             "C": "input",
  18898.             "D": "input",
  18899.             "Z": "output"
  18900.           },
  18901.           "connections": {
  18902.             "A": [ 16 ],
  18903.             "B": [ 128 ],
  18904.             "C": [ 108 ],
  18905.             "D": [ 21 ],
  18906.             "Z": [ 129 ]
  18907.           }
  18908.         },
  18909.         "$abc$1948$auto$blifparse.cc:492:parse_blif$1999": {
  18910.           "hide_name": 1,
  18911.           "type": "LUT4",
  18912.           "parameters": {
  18913.             "INIT": 63624
  18914.           },
  18915.           "attributes": {
  18916.             "module_not_derived": 1,
  18917.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18918.           },
  18919.           "port_directions": {
  18920.             "A": "input",
  18921.             "B": "input",
  18922.             "C": "input",
  18923.             "D": "input",
  18924.             "Z": "output"
  18925.           },
  18926.           "connections": {
  18927.             "A": [ 16 ],
  18928.             "B": [ 130 ],
  18929.             "C": [ 110 ],
  18930.             "D": [ 21 ],
  18931.             "Z": [ 131 ]
  18932.           }
  18933.         },
  18934.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2000": {
  18935.           "hide_name": 1,
  18936.           "type": "LUT4",
  18937.           "parameters": {
  18938.             "INIT": 63624
  18939.           },
  18940.           "attributes": {
  18941.             "module_not_derived": 1,
  18942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18943.           },
  18944.           "port_directions": {
  18945.             "A": "input",
  18946.             "B": "input",
  18947.             "C": "input",
  18948.             "D": "input",
  18949.             "Z": "output"
  18950.           },
  18951.           "connections": {
  18952.             "A": [ 16 ],
  18953.             "B": [ 132 ],
  18954.             "C": [ 112 ],
  18955.             "D": [ 21 ],
  18956.             "Z": [ 133 ]
  18957.           }
  18958.         },
  18959.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2001": {
  18960.           "hide_name": 1,
  18961.           "type": "LUT4",
  18962.           "parameters": {
  18963.             "INIT": 63624
  18964.           },
  18965.           "attributes": {
  18966.             "module_not_derived": 1,
  18967.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18968.           },
  18969.           "port_directions": {
  18970.             "A": "input",
  18971.             "B": "input",
  18972.             "C": "input",
  18973.             "D": "input",
  18974.             "Z": "output"
  18975.           },
  18976.           "connections": {
  18977.             "A": [ 16 ],
  18978.             "B": [ 134 ],
  18979.             "C": [ 114 ],
  18980.             "D": [ 21 ],
  18981.             "Z": [ 135 ]
  18982.           }
  18983.         },
  18984.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2002": {
  18985.           "hide_name": 1,
  18986.           "type": "LUT4",
  18987.           "parameters": {
  18988.             "INIT": 63624
  18989.           },
  18990.           "attributes": {
  18991.             "module_not_derived": 1,
  18992.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  18993.           },
  18994.           "port_directions": {
  18995.             "A": "input",
  18996.             "B": "input",
  18997.             "C": "input",
  18998.             "D": "input",
  18999.             "Z": "output"
  19000.           },
  19001.           "connections": {
  19002.             "A": [ 16 ],
  19003.             "B": [ 136 ],
  19004.             "C": [ 116 ],
  19005.             "D": [ 21 ],
  19006.             "Z": [ 137 ]
  19007.           }
  19008.         },
  19009.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2003": {
  19010.           "hide_name": 1,
  19011.           "type": "LUT4",
  19012.           "parameters": {
  19013.             "INIT": 63624
  19014.           },
  19015.           "attributes": {
  19016.             "module_not_derived": 1,
  19017.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19018.           },
  19019.           "port_directions": {
  19020.             "A": "input",
  19021.             "B": "input",
  19022.             "C": "input",
  19023.             "D": "input",
  19024.             "Z": "output"
  19025.           },
  19026.           "connections": {
  19027.             "A": [ 20 ],
  19028.             "B": [ 98 ],
  19029.             "C": [ 22 ],
  19030.             "D": [ 118 ],
  19031.             "Z": [ 138 ]
  19032.           }
  19033.         },
  19034.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2004": {
  19035.           "hide_name": 1,
  19036.           "type": "LUT4",
  19037.           "parameters": {
  19038.             "INIT": 63624
  19039.           },
  19040.           "attributes": {
  19041.             "module_not_derived": 1,
  19042.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19043.           },
  19044.           "port_directions": {
  19045.             "A": "input",
  19046.             "B": "input",
  19047.             "C": "input",
  19048.             "D": "input",
  19049.             "Z": "output"
  19050.           },
  19051.           "connections": {
  19052.             "A": [ 20 ],
  19053.             "B": [ 100 ],
  19054.             "C": [ 22 ],
  19055.             "D": [ 120 ],
  19056.             "Z": [ 139 ]
  19057.           }
  19058.         },
  19059.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2005": {
  19060.           "hide_name": 1,
  19061.           "type": "LUT4",
  19062.           "parameters": {
  19063.             "INIT": 63624
  19064.           },
  19065.           "attributes": {
  19066.             "module_not_derived": 1,
  19067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19068.           },
  19069.           "port_directions": {
  19070.             "A": "input",
  19071.             "B": "input",
  19072.             "C": "input",
  19073.             "D": "input",
  19074.             "Z": "output"
  19075.           },
  19076.           "connections": {
  19077.             "A": [ 20 ],
  19078.             "B": [ 102 ],
  19079.             "C": [ 22 ],
  19080.             "D": [ 122 ],
  19081.             "Z": [ 140 ]
  19082.           }
  19083.         },
  19084.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2006": {
  19085.           "hide_name": 1,
  19086.           "type": "LUT4",
  19087.           "parameters": {
  19088.             "INIT": 63624
  19089.           },
  19090.           "attributes": {
  19091.             "module_not_derived": 1,
  19092.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19093.           },
  19094.           "port_directions": {
  19095.             "A": "input",
  19096.             "B": "input",
  19097.             "C": "input",
  19098.             "D": "input",
  19099.             "Z": "output"
  19100.           },
  19101.           "connections": {
  19102.             "A": [ 20 ],
  19103.             "B": [ 104 ],
  19104.             "C": [ 22 ],
  19105.             "D": [ 124 ],
  19106.             "Z": [ 141 ]
  19107.           }
  19108.         },
  19109.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2007": {
  19110.           "hide_name": 1,
  19111.           "type": "LUT4",
  19112.           "parameters": {
  19113.             "INIT": 63624
  19114.           },
  19115.           "attributes": {
  19116.             "module_not_derived": 1,
  19117.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19118.           },
  19119.           "port_directions": {
  19120.             "A": "input",
  19121.             "B": "input",
  19122.             "C": "input",
  19123.             "D": "input",
  19124.             "Z": "output"
  19125.           },
  19126.           "connections": {
  19127.             "A": [ 20 ],
  19128.             "B": [ 106 ],
  19129.             "C": [ 22 ],
  19130.             "D": [ 126 ],
  19131.             "Z": [ 142 ]
  19132.           }
  19133.         },
  19134.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2008": {
  19135.           "hide_name": 1,
  19136.           "type": "LUT4",
  19137.           "parameters": {
  19138.             "INIT": 63624
  19139.           },
  19140.           "attributes": {
  19141.             "module_not_derived": 1,
  19142.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19143.           },
  19144.           "port_directions": {
  19145.             "A": "input",
  19146.             "B": "input",
  19147.             "C": "input",
  19148.             "D": "input",
  19149.             "Z": "output"
  19150.           },
  19151.           "connections": {
  19152.             "A": [ 20 ],
  19153.             "B": [ 108 ],
  19154.             "C": [ 22 ],
  19155.             "D": [ 128 ],
  19156.             "Z": [ 143 ]
  19157.           }
  19158.         },
  19159.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2009": {
  19160.           "hide_name": 1,
  19161.           "type": "LUT4",
  19162.           "parameters": {
  19163.             "INIT": 63624
  19164.           },
  19165.           "attributes": {
  19166.             "module_not_derived": 1,
  19167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19168.           },
  19169.           "port_directions": {
  19170.             "A": "input",
  19171.             "B": "input",
  19172.             "C": "input",
  19173.             "D": "input",
  19174.             "Z": "output"
  19175.           },
  19176.           "connections": {
  19177.             "A": [ 20 ],
  19178.             "B": [ 110 ],
  19179.             "C": [ 22 ],
  19180.             "D": [ 130 ],
  19181.             "Z": [ 144 ]
  19182.           }
  19183.         },
  19184.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2010": {
  19185.           "hide_name": 1,
  19186.           "type": "LUT4",
  19187.           "parameters": {
  19188.             "INIT": 63624
  19189.           },
  19190.           "attributes": {
  19191.             "module_not_derived": 1,
  19192.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19193.           },
  19194.           "port_directions": {
  19195.             "A": "input",
  19196.             "B": "input",
  19197.             "C": "input",
  19198.             "D": "input",
  19199.             "Z": "output"
  19200.           },
  19201.           "connections": {
  19202.             "A": [ 20 ],
  19203.             "B": [ 112 ],
  19204.             "C": [ 22 ],
  19205.             "D": [ 132 ],
  19206.             "Z": [ 145 ]
  19207.           }
  19208.         },
  19209.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2011": {
  19210.           "hide_name": 1,
  19211.           "type": "LUT4",
  19212.           "parameters": {
  19213.             "INIT": 63624
  19214.           },
  19215.           "attributes": {
  19216.             "module_not_derived": 1,
  19217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19218.           },
  19219.           "port_directions": {
  19220.             "A": "input",
  19221.             "B": "input",
  19222.             "C": "input",
  19223.             "D": "input",
  19224.             "Z": "output"
  19225.           },
  19226.           "connections": {
  19227.             "A": [ 20 ],
  19228.             "B": [ 114 ],
  19229.             "C": [ 22 ],
  19230.             "D": [ 134 ],
  19231.             "Z": [ 146 ]
  19232.           }
  19233.         },
  19234.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2012": {
  19235.           "hide_name": 1,
  19236.           "type": "LUT4",
  19237.           "parameters": {
  19238.             "INIT": 63624
  19239.           },
  19240.           "attributes": {
  19241.             "module_not_derived": 1,
  19242.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19243.           },
  19244.           "port_directions": {
  19245.             "A": "input",
  19246.             "B": "input",
  19247.             "C": "input",
  19248.             "D": "input",
  19249.             "Z": "output"
  19250.           },
  19251.           "connections": {
  19252.             "A": [ 20 ],
  19253.             "B": [ 116 ],
  19254.             "C": [ 22 ],
  19255.             "D": [ 136 ],
  19256.             "Z": [ 147 ]
  19257.           }
  19258.         },
  19259.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2013": {
  19260.           "hide_name": 1,
  19261.           "type": "LUT4",
  19262.           "parameters": {
  19263.             "INIT": 63624
  19264.           },
  19265.           "attributes": {
  19266.             "module_not_derived": 1,
  19267.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19268.           },
  19269.           "port_directions": {
  19270.             "A": "input",
  19271.             "B": "input",
  19272.             "C": "input",
  19273.             "D": "input",
  19274.             "Z": "output"
  19275.           },
  19276.           "connections": {
  19277.             "A": [ 19 ],
  19278.             "B": [ 98 ],
  19279.             "C": [ 21 ],
  19280.             "D": [ 118 ],
  19281.             "Z": [ 148 ]
  19282.           }
  19283.         },
  19284.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2014": {
  19285.           "hide_name": 1,
  19286.           "type": "LUT4",
  19287.           "parameters": {
  19288.             "INIT": 63624
  19289.           },
  19290.           "attributes": {
  19291.             "module_not_derived": 1,
  19292.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19293.           },
  19294.           "port_directions": {
  19295.             "A": "input",
  19296.             "B": "input",
  19297.             "C": "input",
  19298.             "D": "input",
  19299.             "Z": "output"
  19300.           },
  19301.           "connections": {
  19302.             "A": [ 19 ],
  19303.             "B": [ 100 ],
  19304.             "C": [ 21 ],
  19305.             "D": [ 120 ],
  19306.             "Z": [ 149 ]
  19307.           }
  19308.         },
  19309.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2015": {
  19310.           "hide_name": 1,
  19311.           "type": "LUT4",
  19312.           "parameters": {
  19313.             "INIT": 63624
  19314.           },
  19315.           "attributes": {
  19316.             "module_not_derived": 1,
  19317.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19318.           },
  19319.           "port_directions": {
  19320.             "A": "input",
  19321.             "B": "input",
  19322.             "C": "input",
  19323.             "D": "input",
  19324.             "Z": "output"
  19325.           },
  19326.           "connections": {
  19327.             "A": [ 19 ],
  19328.             "B": [ 102 ],
  19329.             "C": [ 21 ],
  19330.             "D": [ 122 ],
  19331.             "Z": [ 150 ]
  19332.           }
  19333.         },
  19334.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2016": {
  19335.           "hide_name": 1,
  19336.           "type": "LUT4",
  19337.           "parameters": {
  19338.             "INIT": 63624
  19339.           },
  19340.           "attributes": {
  19341.             "module_not_derived": 1,
  19342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19343.           },
  19344.           "port_directions": {
  19345.             "A": "input",
  19346.             "B": "input",
  19347.             "C": "input",
  19348.             "D": "input",
  19349.             "Z": "output"
  19350.           },
  19351.           "connections": {
  19352.             "A": [ 19 ],
  19353.             "B": [ 104 ],
  19354.             "C": [ 21 ],
  19355.             "D": [ 124 ],
  19356.             "Z": [ 151 ]
  19357.           }
  19358.         },
  19359.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2017": {
  19360.           "hide_name": 1,
  19361.           "type": "LUT4",
  19362.           "parameters": {
  19363.             "INIT": 63624
  19364.           },
  19365.           "attributes": {
  19366.             "module_not_derived": 1,
  19367.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19368.           },
  19369.           "port_directions": {
  19370.             "A": "input",
  19371.             "B": "input",
  19372.             "C": "input",
  19373.             "D": "input",
  19374.             "Z": "output"
  19375.           },
  19376.           "connections": {
  19377.             "A": [ 19 ],
  19378.             "B": [ 106 ],
  19379.             "C": [ 21 ],
  19380.             "D": [ 126 ],
  19381.             "Z": [ 152 ]
  19382.           }
  19383.         },
  19384.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2018": {
  19385.           "hide_name": 1,
  19386.           "type": "LUT4",
  19387.           "parameters": {
  19388.             "INIT": 63624
  19389.           },
  19390.           "attributes": {
  19391.             "module_not_derived": 1,
  19392.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19393.           },
  19394.           "port_directions": {
  19395.             "A": "input",
  19396.             "B": "input",
  19397.             "C": "input",
  19398.             "D": "input",
  19399.             "Z": "output"
  19400.           },
  19401.           "connections": {
  19402.             "A": [ 19 ],
  19403.             "B": [ 108 ],
  19404.             "C": [ 21 ],
  19405.             "D": [ 128 ],
  19406.             "Z": [ 153 ]
  19407.           }
  19408.         },
  19409.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2019": {
  19410.           "hide_name": 1,
  19411.           "type": "LUT4",
  19412.           "parameters": {
  19413.             "INIT": 63624
  19414.           },
  19415.           "attributes": {
  19416.             "module_not_derived": 1,
  19417.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19418.           },
  19419.           "port_directions": {
  19420.             "A": "input",
  19421.             "B": "input",
  19422.             "C": "input",
  19423.             "D": "input",
  19424.             "Z": "output"
  19425.           },
  19426.           "connections": {
  19427.             "A": [ 19 ],
  19428.             "B": [ 110 ],
  19429.             "C": [ 21 ],
  19430.             "D": [ 130 ],
  19431.             "Z": [ 154 ]
  19432.           }
  19433.         },
  19434.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2020": {
  19435.           "hide_name": 1,
  19436.           "type": "LUT4",
  19437.           "parameters": {
  19438.             "INIT": 63624
  19439.           },
  19440.           "attributes": {
  19441.             "module_not_derived": 1,
  19442.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19443.           },
  19444.           "port_directions": {
  19445.             "A": "input",
  19446.             "B": "input",
  19447.             "C": "input",
  19448.             "D": "input",
  19449.             "Z": "output"
  19450.           },
  19451.           "connections": {
  19452.             "A": [ 19 ],
  19453.             "B": [ 112 ],
  19454.             "C": [ 21 ],
  19455.             "D": [ 132 ],
  19456.             "Z": [ 155 ]
  19457.           }
  19458.         },
  19459.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2021": {
  19460.           "hide_name": 1,
  19461.           "type": "LUT4",
  19462.           "parameters": {
  19463.             "INIT": 63624
  19464.           },
  19465.           "attributes": {
  19466.             "module_not_derived": 1,
  19467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19468.           },
  19469.           "port_directions": {
  19470.             "A": "input",
  19471.             "B": "input",
  19472.             "C": "input",
  19473.             "D": "input",
  19474.             "Z": "output"
  19475.           },
  19476.           "connections": {
  19477.             "A": [ 19 ],
  19478.             "B": [ 114 ],
  19479.             "C": [ 21 ],
  19480.             "D": [ 134 ],
  19481.             "Z": [ 156 ]
  19482.           }
  19483.         },
  19484.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2022": {
  19485.           "hide_name": 1,
  19486.           "type": "LUT4",
  19487.           "parameters": {
  19488.             "INIT": 63624
  19489.           },
  19490.           "attributes": {
  19491.             "module_not_derived": 1,
  19492.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19493.           },
  19494.           "port_directions": {
  19495.             "A": "input",
  19496.             "B": "input",
  19497.             "C": "input",
  19498.             "D": "input",
  19499.             "Z": "output"
  19500.           },
  19501.           "connections": {
  19502.             "A": [ 19 ],
  19503.             "B": [ 116 ],
  19504.             "C": [ 21 ],
  19505.             "D": [ 136 ],
  19506.             "Z": [ 157 ]
  19507.           }
  19508.         },
  19509.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2023": {
  19510.           "hide_name": 1,
  19511.           "type": "LUT4",
  19512.           "parameters": {
  19513.             "INIT": 63624
  19514.           },
  19515.           "attributes": {
  19516.             "module_not_derived": 1,
  19517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19518.           },
  19519.           "port_directions": {
  19520.             "A": "input",
  19521.             "B": "input",
  19522.             "C": "input",
  19523.             "D": "input",
  19524.             "Z": "output"
  19525.           },
  19526.           "connections": {
  19527.             "A": [ 18 ],
  19528.             "B": [ 98 ],
  19529.             "C": [ 20 ],
  19530.             "D": [ 118 ],
  19531.             "Z": [ 158 ]
  19532.           }
  19533.         },
  19534.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2024": {
  19535.           "hide_name": 1,
  19536.           "type": "LUT4",
  19537.           "parameters": {
  19538.             "INIT": 63624
  19539.           },
  19540.           "attributes": {
  19541.             "module_not_derived": 1,
  19542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19543.           },
  19544.           "port_directions": {
  19545.             "A": "input",
  19546.             "B": "input",
  19547.             "C": "input",
  19548.             "D": "input",
  19549.             "Z": "output"
  19550.           },
  19551.           "connections": {
  19552.             "A": [ 18 ],
  19553.             "B": [ 100 ],
  19554.             "C": [ 20 ],
  19555.             "D": [ 120 ],
  19556.             "Z": [ 159 ]
  19557.           }
  19558.         },
  19559.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2025": {
  19560.           "hide_name": 1,
  19561.           "type": "LUT4",
  19562.           "parameters": {
  19563.             "INIT": 63624
  19564.           },
  19565.           "attributes": {
  19566.             "module_not_derived": 1,
  19567.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19568.           },
  19569.           "port_directions": {
  19570.             "A": "input",
  19571.             "B": "input",
  19572.             "C": "input",
  19573.             "D": "input",
  19574.             "Z": "output"
  19575.           },
  19576.           "connections": {
  19577.             "A": [ 18 ],
  19578.             "B": [ 102 ],
  19579.             "C": [ 20 ],
  19580.             "D": [ 122 ],
  19581.             "Z": [ 160 ]
  19582.           }
  19583.         },
  19584.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2026": {
  19585.           "hide_name": 1,
  19586.           "type": "LUT4",
  19587.           "parameters": {
  19588.             "INIT": 63624
  19589.           },
  19590.           "attributes": {
  19591.             "module_not_derived": 1,
  19592.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19593.           },
  19594.           "port_directions": {
  19595.             "A": "input",
  19596.             "B": "input",
  19597.             "C": "input",
  19598.             "D": "input",
  19599.             "Z": "output"
  19600.           },
  19601.           "connections": {
  19602.             "A": [ 18 ],
  19603.             "B": [ 104 ],
  19604.             "C": [ 20 ],
  19605.             "D": [ 124 ],
  19606.             "Z": [ 161 ]
  19607.           }
  19608.         },
  19609.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2027": {
  19610.           "hide_name": 1,
  19611.           "type": "LUT4",
  19612.           "parameters": {
  19613.             "INIT": 63624
  19614.           },
  19615.           "attributes": {
  19616.             "module_not_derived": 1,
  19617.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19618.           },
  19619.           "port_directions": {
  19620.             "A": "input",
  19621.             "B": "input",
  19622.             "C": "input",
  19623.             "D": "input",
  19624.             "Z": "output"
  19625.           },
  19626.           "connections": {
  19627.             "A": [ 18 ],
  19628.             "B": [ 106 ],
  19629.             "C": [ 20 ],
  19630.             "D": [ 126 ],
  19631.             "Z": [ 162 ]
  19632.           }
  19633.         },
  19634.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2028": {
  19635.           "hide_name": 1,
  19636.           "type": "LUT4",
  19637.           "parameters": {
  19638.             "INIT": 63624
  19639.           },
  19640.           "attributes": {
  19641.             "module_not_derived": 1,
  19642.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19643.           },
  19644.           "port_directions": {
  19645.             "A": "input",
  19646.             "B": "input",
  19647.             "C": "input",
  19648.             "D": "input",
  19649.             "Z": "output"
  19650.           },
  19651.           "connections": {
  19652.             "A": [ 18 ],
  19653.             "B": [ 108 ],
  19654.             "C": [ 20 ],
  19655.             "D": [ 128 ],
  19656.             "Z": [ 163 ]
  19657.           }
  19658.         },
  19659.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2029": {
  19660.           "hide_name": 1,
  19661.           "type": "LUT4",
  19662.           "parameters": {
  19663.             "INIT": 63624
  19664.           },
  19665.           "attributes": {
  19666.             "module_not_derived": 1,
  19667.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19668.           },
  19669.           "port_directions": {
  19670.             "A": "input",
  19671.             "B": "input",
  19672.             "C": "input",
  19673.             "D": "input",
  19674.             "Z": "output"
  19675.           },
  19676.           "connections": {
  19677.             "A": [ 18 ],
  19678.             "B": [ 110 ],
  19679.             "C": [ 20 ],
  19680.             "D": [ 130 ],
  19681.             "Z": [ 164 ]
  19682.           }
  19683.         },
  19684.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2030": {
  19685.           "hide_name": 1,
  19686.           "type": "LUT4",
  19687.           "parameters": {
  19688.             "INIT": 63624
  19689.           },
  19690.           "attributes": {
  19691.             "module_not_derived": 1,
  19692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19693.           },
  19694.           "port_directions": {
  19695.             "A": "input",
  19696.             "B": "input",
  19697.             "C": "input",
  19698.             "D": "input",
  19699.             "Z": "output"
  19700.           },
  19701.           "connections": {
  19702.             "A": [ 18 ],
  19703.             "B": [ 112 ],
  19704.             "C": [ 20 ],
  19705.             "D": [ 132 ],
  19706.             "Z": [ 165 ]
  19707.           }
  19708.         },
  19709.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2031": {
  19710.           "hide_name": 1,
  19711.           "type": "LUT4",
  19712.           "parameters": {
  19713.             "INIT": 63624
  19714.           },
  19715.           "attributes": {
  19716.             "module_not_derived": 1,
  19717.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19718.           },
  19719.           "port_directions": {
  19720.             "A": "input",
  19721.             "B": "input",
  19722.             "C": "input",
  19723.             "D": "input",
  19724.             "Z": "output"
  19725.           },
  19726.           "connections": {
  19727.             "A": [ 18 ],
  19728.             "B": [ 114 ],
  19729.             "C": [ 20 ],
  19730.             "D": [ 134 ],
  19731.             "Z": [ 166 ]
  19732.           }
  19733.         },
  19734.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2032": {
  19735.           "hide_name": 1,
  19736.           "type": "LUT4",
  19737.           "parameters": {
  19738.             "INIT": 63624
  19739.           },
  19740.           "attributes": {
  19741.             "module_not_derived": 1,
  19742.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19743.           },
  19744.           "port_directions": {
  19745.             "A": "input",
  19746.             "B": "input",
  19747.             "C": "input",
  19748.             "D": "input",
  19749.             "Z": "output"
  19750.           },
  19751.           "connections": {
  19752.             "A": [ 18 ],
  19753.             "B": [ 116 ],
  19754.             "C": [ 20 ],
  19755.             "D": [ 136 ],
  19756.             "Z": [ 167 ]
  19757.           }
  19758.         },
  19759.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2033": {
  19760.           "hide_name": 1,
  19761.           "type": "LUT4",
  19762.           "parameters": {
  19763.             "INIT": 63624
  19764.           },
  19765.           "attributes": {
  19766.             "module_not_derived": 1,
  19767.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19768.           },
  19769.           "port_directions": {
  19770.             "A": "input",
  19771.             "B": "input",
  19772.             "C": "input",
  19773.             "D": "input",
  19774.             "Z": "output"
  19775.           },
  19776.           "connections": {
  19777.             "A": [ 17 ],
  19778.             "B": [ 98 ],
  19779.             "C": [ 19 ],
  19780.             "D": [ 118 ],
  19781.             "Z": [ 168 ]
  19782.           }
  19783.         },
  19784.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2034": {
  19785.           "hide_name": 1,
  19786.           "type": "LUT4",
  19787.           "parameters": {
  19788.             "INIT": 63624
  19789.           },
  19790.           "attributes": {
  19791.             "module_not_derived": 1,
  19792.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19793.           },
  19794.           "port_directions": {
  19795.             "A": "input",
  19796.             "B": "input",
  19797.             "C": "input",
  19798.             "D": "input",
  19799.             "Z": "output"
  19800.           },
  19801.           "connections": {
  19802.             "A": [ 17 ],
  19803.             "B": [ 100 ],
  19804.             "C": [ 19 ],
  19805.             "D": [ 120 ],
  19806.             "Z": [ 169 ]
  19807.           }
  19808.         },
  19809.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2035": {
  19810.           "hide_name": 1,
  19811.           "type": "LUT4",
  19812.           "parameters": {
  19813.             "INIT": 63624
  19814.           },
  19815.           "attributes": {
  19816.             "module_not_derived": 1,
  19817.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19818.           },
  19819.           "port_directions": {
  19820.             "A": "input",
  19821.             "B": "input",
  19822.             "C": "input",
  19823.             "D": "input",
  19824.             "Z": "output"
  19825.           },
  19826.           "connections": {
  19827.             "A": [ 17 ],
  19828.             "B": [ 102 ],
  19829.             "C": [ 19 ],
  19830.             "D": [ 122 ],
  19831.             "Z": [ 170 ]
  19832.           }
  19833.         },
  19834.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2036": {
  19835.           "hide_name": 1,
  19836.           "type": "LUT4",
  19837.           "parameters": {
  19838.             "INIT": 63624
  19839.           },
  19840.           "attributes": {
  19841.             "module_not_derived": 1,
  19842.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19843.           },
  19844.           "port_directions": {
  19845.             "A": "input",
  19846.             "B": "input",
  19847.             "C": "input",
  19848.             "D": "input",
  19849.             "Z": "output"
  19850.           },
  19851.           "connections": {
  19852.             "A": [ 17 ],
  19853.             "B": [ 104 ],
  19854.             "C": [ 19 ],
  19855.             "D": [ 124 ],
  19856.             "Z": [ 171 ]
  19857.           }
  19858.         },
  19859.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2037": {
  19860.           "hide_name": 1,
  19861.           "type": "LUT4",
  19862.           "parameters": {
  19863.             "INIT": 63624
  19864.           },
  19865.           "attributes": {
  19866.             "module_not_derived": 1,
  19867.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19868.           },
  19869.           "port_directions": {
  19870.             "A": "input",
  19871.             "B": "input",
  19872.             "C": "input",
  19873.             "D": "input",
  19874.             "Z": "output"
  19875.           },
  19876.           "connections": {
  19877.             "A": [ 17 ],
  19878.             "B": [ 106 ],
  19879.             "C": [ 19 ],
  19880.             "D": [ 126 ],
  19881.             "Z": [ 172 ]
  19882.           }
  19883.         },
  19884.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2038": {
  19885.           "hide_name": 1,
  19886.           "type": "LUT4",
  19887.           "parameters": {
  19888.             "INIT": 63624
  19889.           },
  19890.           "attributes": {
  19891.             "module_not_derived": 1,
  19892.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19893.           },
  19894.           "port_directions": {
  19895.             "A": "input",
  19896.             "B": "input",
  19897.             "C": "input",
  19898.             "D": "input",
  19899.             "Z": "output"
  19900.           },
  19901.           "connections": {
  19902.             "A": [ 17 ],
  19903.             "B": [ 108 ],
  19904.             "C": [ 19 ],
  19905.             "D": [ 128 ],
  19906.             "Z": [ 173 ]
  19907.           }
  19908.         },
  19909.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2039": {
  19910.           "hide_name": 1,
  19911.           "type": "LUT4",
  19912.           "parameters": {
  19913.             "INIT": 63624
  19914.           },
  19915.           "attributes": {
  19916.             "module_not_derived": 1,
  19917.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19918.           },
  19919.           "port_directions": {
  19920.             "A": "input",
  19921.             "B": "input",
  19922.             "C": "input",
  19923.             "D": "input",
  19924.             "Z": "output"
  19925.           },
  19926.           "connections": {
  19927.             "A": [ 17 ],
  19928.             "B": [ 110 ],
  19929.             "C": [ 19 ],
  19930.             "D": [ 130 ],
  19931.             "Z": [ 174 ]
  19932.           }
  19933.         },
  19934.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2040": {
  19935.           "hide_name": 1,
  19936.           "type": "LUT4",
  19937.           "parameters": {
  19938.             "INIT": 63624
  19939.           },
  19940.           "attributes": {
  19941.             "module_not_derived": 1,
  19942.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19943.           },
  19944.           "port_directions": {
  19945.             "A": "input",
  19946.             "B": "input",
  19947.             "C": "input",
  19948.             "D": "input",
  19949.             "Z": "output"
  19950.           },
  19951.           "connections": {
  19952.             "A": [ 17 ],
  19953.             "B": [ 112 ],
  19954.             "C": [ 19 ],
  19955.             "D": [ 132 ],
  19956.             "Z": [ 175 ]
  19957.           }
  19958.         },
  19959.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2041": {
  19960.           "hide_name": 1,
  19961.           "type": "LUT4",
  19962.           "parameters": {
  19963.             "INIT": 63624
  19964.           },
  19965.           "attributes": {
  19966.             "module_not_derived": 1,
  19967.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19968.           },
  19969.           "port_directions": {
  19970.             "A": "input",
  19971.             "B": "input",
  19972.             "C": "input",
  19973.             "D": "input",
  19974.             "Z": "output"
  19975.           },
  19976.           "connections": {
  19977.             "A": [ 17 ],
  19978.             "B": [ 114 ],
  19979.             "C": [ 19 ],
  19980.             "D": [ 134 ],
  19981.             "Z": [ 176 ]
  19982.           }
  19983.         },
  19984.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2042": {
  19985.           "hide_name": 1,
  19986.           "type": "LUT4",
  19987.           "parameters": {
  19988.             "INIT": 63624
  19989.           },
  19990.           "attributes": {
  19991.             "module_not_derived": 1,
  19992.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  19993.           },
  19994.           "port_directions": {
  19995.             "A": "input",
  19996.             "B": "input",
  19997.             "C": "input",
  19998.             "D": "input",
  19999.             "Z": "output"
  20000.           },
  20001.           "connections": {
  20002.             "A": [ 17 ],
  20003.             "B": [ 116 ],
  20004.             "C": [ 19 ],
  20005.             "D": [ 136 ],
  20006.             "Z": [ 177 ]
  20007.           }
  20008.         },
  20009.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2043": {
  20010.           "hide_name": 1,
  20011.           "type": "LUT4",
  20012.           "parameters": {
  20013.             "INIT": 63624
  20014.           },
  20015.           "attributes": {
  20016.             "module_not_derived": 1,
  20017.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20018.           },
  20019.           "port_directions": {
  20020.             "A": "input",
  20021.             "B": "input",
  20022.             "C": "input",
  20023.             "D": "input",
  20024.             "Z": "output"
  20025.           },
  20026.           "connections": {
  20027.             "A": [ 15 ],
  20028.             "B": [ 98 ],
  20029.             "C": [ 18 ],
  20030.             "D": [ 118 ],
  20031.             "Z": [ 178 ]
  20032.           }
  20033.         },
  20034.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2044": {
  20035.           "hide_name": 1,
  20036.           "type": "LUT4",
  20037.           "parameters": {
  20038.             "INIT": 63624
  20039.           },
  20040.           "attributes": {
  20041.             "module_not_derived": 1,
  20042.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20043.           },
  20044.           "port_directions": {
  20045.             "A": "input",
  20046.             "B": "input",
  20047.             "C": "input",
  20048.             "D": "input",
  20049.             "Z": "output"
  20050.           },
  20051.           "connections": {
  20052.             "A": [ 15 ],
  20053.             "B": [ 100 ],
  20054.             "C": [ 18 ],
  20055.             "D": [ 120 ],
  20056.             "Z": [ 179 ]
  20057.           }
  20058.         },
  20059.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2045": {
  20060.           "hide_name": 1,
  20061.           "type": "LUT4",
  20062.           "parameters": {
  20063.             "INIT": 63624
  20064.           },
  20065.           "attributes": {
  20066.             "module_not_derived": 1,
  20067.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20068.           },
  20069.           "port_directions": {
  20070.             "A": "input",
  20071.             "B": "input",
  20072.             "C": "input",
  20073.             "D": "input",
  20074.             "Z": "output"
  20075.           },
  20076.           "connections": {
  20077.             "A": [ 15 ],
  20078.             "B": [ 102 ],
  20079.             "C": [ 18 ],
  20080.             "D": [ 122 ],
  20081.             "Z": [ 180 ]
  20082.           }
  20083.         },
  20084.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2046": {
  20085.           "hide_name": 1,
  20086.           "type": "LUT4",
  20087.           "parameters": {
  20088.             "INIT": 63624
  20089.           },
  20090.           "attributes": {
  20091.             "module_not_derived": 1,
  20092.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20093.           },
  20094.           "port_directions": {
  20095.             "A": "input",
  20096.             "B": "input",
  20097.             "C": "input",
  20098.             "D": "input",
  20099.             "Z": "output"
  20100.           },
  20101.           "connections": {
  20102.             "A": [ 15 ],
  20103.             "B": [ 104 ],
  20104.             "C": [ 18 ],
  20105.             "D": [ 124 ],
  20106.             "Z": [ 181 ]
  20107.           }
  20108.         },
  20109.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2047": {
  20110.           "hide_name": 1,
  20111.           "type": "LUT4",
  20112.           "parameters": {
  20113.             "INIT": 63624
  20114.           },
  20115.           "attributes": {
  20116.             "module_not_derived": 1,
  20117.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20118.           },
  20119.           "port_directions": {
  20120.             "A": "input",
  20121.             "B": "input",
  20122.             "C": "input",
  20123.             "D": "input",
  20124.             "Z": "output"
  20125.           },
  20126.           "connections": {
  20127.             "A": [ 15 ],
  20128.             "B": [ 106 ],
  20129.             "C": [ 18 ],
  20130.             "D": [ 126 ],
  20131.             "Z": [ 182 ]
  20132.           }
  20133.         },
  20134.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2048": {
  20135.           "hide_name": 1,
  20136.           "type": "LUT4",
  20137.           "parameters": {
  20138.             "INIT": 63624
  20139.           },
  20140.           "attributes": {
  20141.             "module_not_derived": 1,
  20142.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20143.           },
  20144.           "port_directions": {
  20145.             "A": "input",
  20146.             "B": "input",
  20147.             "C": "input",
  20148.             "D": "input",
  20149.             "Z": "output"
  20150.           },
  20151.           "connections": {
  20152.             "A": [ 15 ],
  20153.             "B": [ 108 ],
  20154.             "C": [ 18 ],
  20155.             "D": [ 128 ],
  20156.             "Z": [ 183 ]
  20157.           }
  20158.         },
  20159.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2049": {
  20160.           "hide_name": 1,
  20161.           "type": "LUT4",
  20162.           "parameters": {
  20163.             "INIT": 63624
  20164.           },
  20165.           "attributes": {
  20166.             "module_not_derived": 1,
  20167.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20168.           },
  20169.           "port_directions": {
  20170.             "A": "input",
  20171.             "B": "input",
  20172.             "C": "input",
  20173.             "D": "input",
  20174.             "Z": "output"
  20175.           },
  20176.           "connections": {
  20177.             "A": [ 15 ],
  20178.             "B": [ 110 ],
  20179.             "C": [ 18 ],
  20180.             "D": [ 130 ],
  20181.             "Z": [ 184 ]
  20182.           }
  20183.         },
  20184.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2050": {
  20185.           "hide_name": 1,
  20186.           "type": "LUT4",
  20187.           "parameters": {
  20188.             "INIT": 63624
  20189.           },
  20190.           "attributes": {
  20191.             "module_not_derived": 1,
  20192.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20193.           },
  20194.           "port_directions": {
  20195.             "A": "input",
  20196.             "B": "input",
  20197.             "C": "input",
  20198.             "D": "input",
  20199.             "Z": "output"
  20200.           },
  20201.           "connections": {
  20202.             "A": [ 15 ],
  20203.             "B": [ 112 ],
  20204.             "C": [ 18 ],
  20205.             "D": [ 132 ],
  20206.             "Z": [ 185 ]
  20207.           }
  20208.         },
  20209.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2051": {
  20210.           "hide_name": 1,
  20211.           "type": "LUT4",
  20212.           "parameters": {
  20213.             "INIT": 63624
  20214.           },
  20215.           "attributes": {
  20216.             "module_not_derived": 1,
  20217.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20218.           },
  20219.           "port_directions": {
  20220.             "A": "input",
  20221.             "B": "input",
  20222.             "C": "input",
  20223.             "D": "input",
  20224.             "Z": "output"
  20225.           },
  20226.           "connections": {
  20227.             "A": [ 15 ],
  20228.             "B": [ 114 ],
  20229.             "C": [ 18 ],
  20230.             "D": [ 134 ],
  20231.             "Z": [ 186 ]
  20232.           }
  20233.         },
  20234.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2052": {
  20235.           "hide_name": 1,
  20236.           "type": "LUT4",
  20237.           "parameters": {
  20238.             "INIT": 63624
  20239.           },
  20240.           "attributes": {
  20241.             "module_not_derived": 1,
  20242.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20243.           },
  20244.           "port_directions": {
  20245.             "A": "input",
  20246.             "B": "input",
  20247.             "C": "input",
  20248.             "D": "input",
  20249.             "Z": "output"
  20250.           },
  20251.           "connections": {
  20252.             "A": [ 15 ],
  20253.             "B": [ 116 ],
  20254.             "C": [ 18 ],
  20255.             "D": [ 136 ],
  20256.             "Z": [ 187 ]
  20257.           }
  20258.         },
  20259.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2053": {
  20260.           "hide_name": 1,
  20261.           "type": "LUT4",
  20262.           "parameters": {
  20263.             "INIT": 8
  20264.           },
  20265.           "attributes": {
  20266.             "module_not_derived": 1,
  20267.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20268.           },
  20269.           "port_directions": {
  20270.             "A": "input",
  20271.             "B": "input",
  20272.             "C": "input",
  20273.             "D": "input",
  20274.             "Z": "output"
  20275.           },
  20276.           "connections": {
  20277.             "A": [ 17 ],
  20278.             "B": [ 118 ],
  20279.             "C": [ "0" ],
  20280.             "D": [ "0" ],
  20281.             "Z": [ 188 ]
  20282.           }
  20283.         },
  20284.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2054": {
  20285.           "hide_name": 1,
  20286.           "type": "LUT4",
  20287.           "parameters": {
  20288.             "INIT": 8
  20289.           },
  20290.           "attributes": {
  20291.             "module_not_derived": 1,
  20292.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20293.           },
  20294.           "port_directions": {
  20295.             "A": "input",
  20296.             "B": "input",
  20297.             "C": "input",
  20298.             "D": "input",
  20299.             "Z": "output"
  20300.           },
  20301.           "connections": {
  20302.             "A": [ 17 ],
  20303.             "B": [ 120 ],
  20304.             "C": [ "0" ],
  20305.             "D": [ "0" ],
  20306.             "Z": [ 189 ]
  20307.           }
  20308.         },
  20309.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2055": {
  20310.           "hide_name": 1,
  20311.           "type": "LUT4",
  20312.           "parameters": {
  20313.             "INIT": 8
  20314.           },
  20315.           "attributes": {
  20316.             "module_not_derived": 1,
  20317.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20318.           },
  20319.           "port_directions": {
  20320.             "A": "input",
  20321.             "B": "input",
  20322.             "C": "input",
  20323.             "D": "input",
  20324.             "Z": "output"
  20325.           },
  20326.           "connections": {
  20327.             "A": [ 17 ],
  20328.             "B": [ 122 ],
  20329.             "C": [ "0" ],
  20330.             "D": [ "0" ],
  20331.             "Z": [ 190 ]
  20332.           }
  20333.         },
  20334.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2056": {
  20335.           "hide_name": 1,
  20336.           "type": "LUT4",
  20337.           "parameters": {
  20338.             "INIT": 8
  20339.           },
  20340.           "attributes": {
  20341.             "module_not_derived": 1,
  20342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20343.           },
  20344.           "port_directions": {
  20345.             "A": "input",
  20346.             "B": "input",
  20347.             "C": "input",
  20348.             "D": "input",
  20349.             "Z": "output"
  20350.           },
  20351.           "connections": {
  20352.             "A": [ 17 ],
  20353.             "B": [ 124 ],
  20354.             "C": [ "0" ],
  20355.             "D": [ "0" ],
  20356.             "Z": [ 191 ]
  20357.           }
  20358.         },
  20359.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2057": {
  20360.           "hide_name": 1,
  20361.           "type": "LUT4",
  20362.           "parameters": {
  20363.             "INIT": 8
  20364.           },
  20365.           "attributes": {
  20366.             "module_not_derived": 1,
  20367.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20368.           },
  20369.           "port_directions": {
  20370.             "A": "input",
  20371.             "B": "input",
  20372.             "C": "input",
  20373.             "D": "input",
  20374.             "Z": "output"
  20375.           },
  20376.           "connections": {
  20377.             "A": [ 17 ],
  20378.             "B": [ 126 ],
  20379.             "C": [ "0" ],
  20380.             "D": [ "0" ],
  20381.             "Z": [ 192 ]
  20382.           }
  20383.         },
  20384.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2058": {
  20385.           "hide_name": 1,
  20386.           "type": "LUT4",
  20387.           "parameters": {
  20388.             "INIT": 8
  20389.           },
  20390.           "attributes": {
  20391.             "module_not_derived": 1,
  20392.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20393.           },
  20394.           "port_directions": {
  20395.             "A": "input",
  20396.             "B": "input",
  20397.             "C": "input",
  20398.             "D": "input",
  20399.             "Z": "output"
  20400.           },
  20401.           "connections": {
  20402.             "A": [ 17 ],
  20403.             "B": [ 128 ],
  20404.             "C": [ "0" ],
  20405.             "D": [ "0" ],
  20406.             "Z": [ 193 ]
  20407.           }
  20408.         },
  20409.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2059": {
  20410.           "hide_name": 1,
  20411.           "type": "LUT4",
  20412.           "parameters": {
  20413.             "INIT": 8
  20414.           },
  20415.           "attributes": {
  20416.             "module_not_derived": 1,
  20417.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20418.           },
  20419.           "port_directions": {
  20420.             "A": "input",
  20421.             "B": "input",
  20422.             "C": "input",
  20423.             "D": "input",
  20424.             "Z": "output"
  20425.           },
  20426.           "connections": {
  20427.             "A": [ 17 ],
  20428.             "B": [ 130 ],
  20429.             "C": [ "0" ],
  20430.             "D": [ "0" ],
  20431.             "Z": [ 194 ]
  20432.           }
  20433.         },
  20434.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2060": {
  20435.           "hide_name": 1,
  20436.           "type": "LUT4",
  20437.           "parameters": {
  20438.             "INIT": 8
  20439.           },
  20440.           "attributes": {
  20441.             "module_not_derived": 1,
  20442.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20443.           },
  20444.           "port_directions": {
  20445.             "A": "input",
  20446.             "B": "input",
  20447.             "C": "input",
  20448.             "D": "input",
  20449.             "Z": "output"
  20450.           },
  20451.           "connections": {
  20452.             "A": [ 17 ],
  20453.             "B": [ 132 ],
  20454.             "C": [ "0" ],
  20455.             "D": [ "0" ],
  20456.             "Z": [ 195 ]
  20457.           }
  20458.         },
  20459.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2061": {
  20460.           "hide_name": 1,
  20461.           "type": "LUT4",
  20462.           "parameters": {
  20463.             "INIT": 8
  20464.           },
  20465.           "attributes": {
  20466.             "module_not_derived": 1,
  20467.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20468.           },
  20469.           "port_directions": {
  20470.             "A": "input",
  20471.             "B": "input",
  20472.             "C": "input",
  20473.             "D": "input",
  20474.             "Z": "output"
  20475.           },
  20476.           "connections": {
  20477.             "A": [ 17 ],
  20478.             "B": [ 134 ],
  20479.             "C": [ "0" ],
  20480.             "D": [ "0" ],
  20481.             "Z": [ 196 ]
  20482.           }
  20483.         },
  20484.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2062": {
  20485.           "hide_name": 1,
  20486.           "type": "LUT4",
  20487.           "parameters": {
  20488.             "INIT": 8
  20489.           },
  20490.           "attributes": {
  20491.             "module_not_derived": 1,
  20492.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:118"
  20493.           },
  20494.           "port_directions": {
  20495.             "A": "input",
  20496.             "B": "input",
  20497.             "C": "input",
  20498.             "D": "input",
  20499.             "Z": "output"
  20500.           },
  20501.           "connections": {
  20502.             "A": [ 17 ],
  20503.             "B": [ 136 ],
  20504.             "C": [ "0" ],
  20505.             "D": [ "0" ],
  20506.             "Z": [ 197 ]
  20507.           }
  20508.         },
  20509.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut0": {
  20510.           "hide_name": 1,
  20511.           "type": "LUT4",
  20512.           "parameters": {
  20513.             "INIT": 0
  20514.           },
  20515.           "attributes": {
  20516.             "module_not_derived": 1,
  20517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:156"
  20518.           },
  20519.           "port_directions": {
  20520.             "A": "input",
  20521.             "B": "input",
  20522.             "C": "input",
  20523.             "D": "input",
  20524.             "Z": "output"
  20525.           },
  20526.           "connections": {
  20527.             "A": [ 198 ],
  20528.             "B": [ 199 ],
  20529.             "C": [ 200 ],
  20530.             "D": [ 201 ],
  20531.             "Z": [ 202 ]
  20532.           }
  20533.         },
  20534.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut1": {
  20535.           "hide_name": 1,
  20536.           "type": "LUT4",
  20537.           "parameters": {
  20538.             "INIT": 0
  20539.           },
  20540.           "attributes": {
  20541.             "module_not_derived": 1,
  20542.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:158"
  20543.           },
  20544.           "port_directions": {
  20545.             "A": "input",
  20546.             "B": "input",
  20547.             "C": "input",
  20548.             "D": "input",
  20549.             "Z": "output"
  20550.           },
  20551.           "connections": {
  20552.             "A": [ 198 ],
  20553.             "B": [ 199 ],
  20554.             "C": [ 200 ],
  20555.             "D": [ 201 ],
  20556.             "Z": [ 203 ]
  20557.           }
  20558.         },
  20559.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut2": {
  20560.           "hide_name": 1,
  20561.           "type": "LUT4",
  20562.           "parameters": {
  20563.             "INIT": 0
  20564.           },
  20565.           "attributes": {
  20566.             "module_not_derived": 1,
  20567.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:161"
  20568.           },
  20569.           "port_directions": {
  20570.             "A": "input",
  20571.             "B": "input",
  20572.             "C": "input",
  20573.             "D": "input",
  20574.             "Z": "output"
  20575.           },
  20576.           "connections": {
  20577.             "A": [ 198 ],
  20578.             "B": [ 199 ],
  20579.             "C": [ 200 ],
  20580.             "D": [ 201 ],
  20581.             "Z": [ 204 ]
  20582.           }
  20583.         },
  20584.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut3": {
  20585.           "hide_name": 1,
  20586.           "type": "LUT4",
  20587.           "parameters": {
  20588.             "INIT": 0
  20589.           },
  20590.           "attributes": {
  20591.             "module_not_derived": 1,
  20592.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:163"
  20593.           },
  20594.           "port_directions": {
  20595.             "A": "input",
  20596.             "B": "input",
  20597.             "C": "input",
  20598.             "D": "input",
  20599.             "Z": "output"
  20600.           },
  20601.           "connections": {
  20602.             "A": [ 198 ],
  20603.             "B": [ 199 ],
  20604.             "C": [ 200 ],
  20605.             "D": [ 201 ],
  20606.             "Z": [ 205 ]
  20607.           }
  20608.         },
  20609.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut4": {
  20610.           "hide_name": 1,
  20611.           "type": "LUT4",
  20612.           "parameters": {
  20613.             "INIT": 65535
  20614.           },
  20615.           "attributes": {
  20616.             "module_not_derived": 1,
  20617.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:166"
  20618.           },
  20619.           "port_directions": {
  20620.             "A": "input",
  20621.             "B": "input",
  20622.             "C": "input",
  20623.             "D": "input",
  20624.             "Z": "output"
  20625.           },
  20626.           "connections": {
  20627.             "A": [ 198 ],
  20628.             "B": [ 199 ],
  20629.             "C": [ 200 ],
  20630.             "D": [ 201 ],
  20631.             "Z": [ 206 ]
  20632.           }
  20633.         },
  20634.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut5": {
  20635.           "hide_name": 1,
  20636.           "type": "LUT4",
  20637.           "parameters": {
  20638.             "INIT": 65535
  20639.           },
  20640.           "attributes": {
  20641.             "module_not_derived": 1,
  20642.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:168"
  20643.           },
  20644.           "port_directions": {
  20645.             "A": "input",
  20646.             "B": "input",
  20647.             "C": "input",
  20648.             "D": "input",
  20649.             "Z": "output"
  20650.           },
  20651.           "connections": {
  20652.             "A": [ 198 ],
  20653.             "B": [ 199 ],
  20654.             "C": [ 200 ],
  20655.             "D": [ 201 ],
  20656.             "Z": [ 207 ]
  20657.           }
  20658.         },
  20659.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut6": {
  20660.           "hide_name": 1,
  20661.           "type": "LUT4",
  20662.           "parameters": {
  20663.             "INIT": 65535
  20664.           },
  20665.           "attributes": {
  20666.             "module_not_derived": 1,
  20667.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:171"
  20668.           },
  20669.           "port_directions": {
  20670.             "A": "input",
  20671.             "B": "input",
  20672.             "C": "input",
  20673.             "D": "input",
  20674.             "Z": "output"
  20675.           },
  20676.           "connections": {
  20677.             "A": [ 198 ],
  20678.             "B": [ 199 ],
  20679.             "C": [ 200 ],
  20680.             "D": [ 201 ],
  20681.             "Z": [ 208 ]
  20682.           }
  20683.         },
  20684.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.lut7": {
  20685.           "hide_name": 1,
  20686.           "type": "LUT4",
  20687.           "parameters": {
  20688.             "INIT": 32767
  20689.           },
  20690.           "attributes": {
  20691.             "module_not_derived": 1,
  20692.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:173"
  20693.           },
  20694.           "port_directions": {
  20695.             "A": "input",
  20696.             "B": "input",
  20697.             "C": "input",
  20698.             "D": "input",
  20699.             "Z": "output"
  20700.           },
  20701.           "connections": {
  20702.             "A": [ 198 ],
  20703.             "B": [ 199 ],
  20704.             "C": [ 200 ],
  20705.             "D": [ 201 ],
  20706.             "Z": [ 209 ]
  20707.           }
  20708.         },
  20709.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux50": {
  20710.           "hide_name": 1,
  20711.           "type": "PFUMX",
  20712.           "parameters": {
  20713.           },
  20714.           "attributes": {
  20715.             "module_not_derived": 1,
  20716.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:176"
  20717.           },
  20718.           "port_directions": {
  20719.             "ALUT": "input",
  20720.             "BLUT": "input",
  20721.             "C0": "input",
  20722.             "Z": "output"
  20723.           },
  20724.           "connections": {
  20725.             "ALUT": [ 203 ],
  20726.             "BLUT": [ 202 ],
  20727.             "C0": [ 210 ],
  20728.             "Z": [ 211 ]
  20729.           }
  20730.         },
  20731.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux51": {
  20732.           "hide_name": 1,
  20733.           "type": "PFUMX",
  20734.           "parameters": {
  20735.           },
  20736.           "attributes": {
  20737.             "module_not_derived": 1,
  20738.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:177"
  20739.           },
  20740.           "port_directions": {
  20741.             "ALUT": "input",
  20742.             "BLUT": "input",
  20743.             "C0": "input",
  20744.             "Z": "output"
  20745.           },
  20746.           "connections": {
  20747.             "ALUT": [ 205 ],
  20748.             "BLUT": [ 204 ],
  20749.             "C0": [ 210 ],
  20750.             "Z": [ 212 ]
  20751.           }
  20752.         },
  20753.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux52": {
  20754.           "hide_name": 1,
  20755.           "type": "PFUMX",
  20756.           "parameters": {
  20757.           },
  20758.           "attributes": {
  20759.             "module_not_derived": 1,
  20760.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:178"
  20761.           },
  20762.           "port_directions": {
  20763.             "ALUT": "input",
  20764.             "BLUT": "input",
  20765.             "C0": "input",
  20766.             "Z": "output"
  20767.           },
  20768.           "connections": {
  20769.             "ALUT": [ 207 ],
  20770.             "BLUT": [ 206 ],
  20771.             "C0": [ 210 ],
  20772.             "Z": [ 213 ]
  20773.           }
  20774.         },
  20775.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux53": {
  20776.           "hide_name": 1,
  20777.           "type": "PFUMX",
  20778.           "parameters": {
  20779.           },
  20780.           "attributes": {
  20781.             "module_not_derived": 1,
  20782.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:179"
  20783.           },
  20784.           "port_directions": {
  20785.             "ALUT": "input",
  20786.             "BLUT": "input",
  20787.             "C0": "input",
  20788.             "Z": "output"
  20789.           },
  20790.           "connections": {
  20791.             "ALUT": [ 209 ],
  20792.             "BLUT": [ 208 ],
  20793.             "C0": [ 210 ],
  20794.             "Z": [ 214 ]
  20795.           }
  20796.         },
  20797.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux60": {
  20798.           "hide_name": 1,
  20799.           "type": "L6MUX21",
  20800.           "parameters": {
  20801.           },
  20802.           "attributes": {
  20803.             "module_not_derived": 1,
  20804.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:180"
  20805.           },
  20806.           "port_directions": {
  20807.             "D0": "input",
  20808.             "D1": "input",
  20809.             "SD": "input",
  20810.             "Z": "output"
  20811.           },
  20812.           "connections": {
  20813.             "D0": [ 211 ],
  20814.             "D1": [ 212 ],
  20815.             "SD": [ 215 ],
  20816.             "Z": [ 216 ]
  20817.           }
  20818.         },
  20819.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux61": {
  20820.           "hide_name": 1,
  20821.           "type": "L6MUX21",
  20822.           "parameters": {
  20823.           },
  20824.           "attributes": {
  20825.             "module_not_derived": 1,
  20826.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:181"
  20827.           },
  20828.           "port_directions": {
  20829.             "D0": "input",
  20830.             "D1": "input",
  20831.             "SD": "input",
  20832.             "Z": "output"
  20833.           },
  20834.           "connections": {
  20835.             "D0": [ 213 ],
  20836.             "D1": [ 214 ],
  20837.             "SD": [ 215 ],
  20838.             "Z": [ 217 ]
  20839.           }
  20840.         },
  20841.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.mux7": {
  20842.           "hide_name": 1,
  20843.           "type": "L6MUX21",
  20844.           "parameters": {
  20845.           },
  20846.           "attributes": {
  20847.             "module_not_derived": 1,
  20848.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:182"
  20849.           },
  20850.           "port_directions": {
  20851.             "D0": "input",
  20852.             "D1": "input",
  20853.             "SD": "input",
  20854.             "Z": "output"
  20855.           },
  20856.           "connections": {
  20857.             "D0": [ 216 ],
  20858.             "D1": [ 217 ],
  20859.             "SD": [ 218 ],
  20860.             "Z": [ 219 ]
  20861.           }
  20862.         },
  20863.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2064": {
  20864.           "hide_name": 1,
  20865.           "type": "LUT4",
  20866.           "parameters": {
  20867.             "INIT": 45067
  20868.           },
  20869.           "attributes": {
  20870.             "module_not_derived": 1,
  20871.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  20872.           },
  20873.           "port_directions": {
  20874.             "A": "input",
  20875.             "B": "input",
  20876.             "C": "input",
  20877.             "D": "input",
  20878.             "Z": "output"
  20879.           },
  20880.           "connections": {
  20881.             "A": [ 220 ],
  20882.             "B": [ 221 ],
  20883.             "C": [ 222 ],
  20884.             "D": [ 223 ],
  20885.             "Z": [ 210 ]
  20886.           }
  20887.         },
  20888.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.lut0": {
  20889.           "hide_name": 1,
  20890.           "type": "LUT4",
  20891.           "parameters": {
  20892.             "INIT": 45243
  20893.           },
  20894.           "attributes": {
  20895.             "module_not_derived": 1,
  20896.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:140"
  20897.           },
  20898.           "port_directions": {
  20899.             "A": "input",
  20900.             "B": "input",
  20901.             "C": "input",
  20902.             "D": "input",
  20903.             "Z": "output"
  20904.           },
  20905.           "connections": {
  20906.             "A": [ 224 ],
  20907.             "B": [ 225 ],
  20908.             "C": [ 226 ],
  20909.             "D": [ 227 ],
  20910.             "Z": [ 228 ]
  20911.           }
  20912.         },
  20913.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.lut1": {
  20914.           "hide_name": 1,
  20915.           "type": "LUT4",
  20916.           "parameters": {
  20917.             "INIT": 0
  20918.           },
  20919.           "attributes": {
  20920.             "module_not_derived": 1,
  20921.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142"
  20922.           },
  20923.           "port_directions": {
  20924.             "A": "input",
  20925.             "B": "input",
  20926.             "C": "input",
  20927.             "D": "input",
  20928.             "Z": "output"
  20929.           },
  20930.           "connections": {
  20931.             "A": [ 224 ],
  20932.             "B": [ 225 ],
  20933.             "C": [ 226 ],
  20934.             "D": [ 227 ],
  20935.             "Z": [ 229 ]
  20936.           }
  20937.         },
  20938.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.lut2": {
  20939.           "hide_name": 1,
  20940.           "type": "LUT4",
  20941.           "parameters": {
  20942.             "INIT": 0
  20943.           },
  20944.           "attributes": {
  20945.             "module_not_derived": 1,
  20946.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145"
  20947.           },
  20948.           "port_directions": {
  20949.             "A": "input",
  20950.             "B": "input",
  20951.             "C": "input",
  20952.             "D": "input",
  20953.             "Z": "output"
  20954.           },
  20955.           "connections": {
  20956.             "A": [ 224 ],
  20957.             "B": [ 225 ],
  20958.             "C": [ 226 ],
  20959.             "D": [ 227 ],
  20960.             "Z": [ 230 ]
  20961.           }
  20962.         },
  20963.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.lut3": {
  20964.           "hide_name": 1,
  20965.           "type": "LUT4",
  20966.           "parameters": {
  20967.             "INIT": 45243
  20968.           },
  20969.           "attributes": {
  20970.             "module_not_derived": 1,
  20971.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:147"
  20972.           },
  20973.           "port_directions": {
  20974.             "A": "input",
  20975.             "B": "input",
  20976.             "C": "input",
  20977.             "D": "input",
  20978.             "Z": "output"
  20979.           },
  20980.           "connections": {
  20981.             "A": [ 224 ],
  20982.             "B": [ 225 ],
  20983.             "C": [ 226 ],
  20984.             "D": [ 227 ],
  20985.             "Z": [ 231 ]
  20986.           }
  20987.         },
  20988.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.mux50": {
  20989.           "hide_name": 1,
  20990.           "type": "PFUMX",
  20991.           "parameters": {
  20992.           },
  20993.           "attributes": {
  20994.             "module_not_derived": 1,
  20995.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150"
  20996.           },
  20997.           "port_directions": {
  20998.             "ALUT": "input",
  20999.             "BLUT": "input",
  21000.             "C0": "input",
  21001.             "Z": "output"
  21002.           },
  21003.           "connections": {
  21004.             "ALUT": [ 229 ],
  21005.             "BLUT": [ 228 ],
  21006.             "C0": [ 232 ],
  21007.             "Z": [ 233 ]
  21008.           }
  21009.         },
  21010.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.mux51": {
  21011.           "hide_name": 1,
  21012.           "type": "PFUMX",
  21013.           "parameters": {
  21014.           },
  21015.           "attributes": {
  21016.             "module_not_derived": 1,
  21017.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:151"
  21018.           },
  21019.           "port_directions": {
  21020.             "ALUT": "input",
  21021.             "BLUT": "input",
  21022.             "C0": "input",
  21023.             "Z": "output"
  21024.           },
  21025.           "connections": {
  21026.             "ALUT": [ 231 ],
  21027.             "BLUT": [ 230 ],
  21028.             "C0": [ 232 ],
  21029.             "Z": [ 234 ]
  21030.           }
  21031.         },
  21032.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.mux6": {
  21033.           "hide_name": 1,
  21034.           "type": "L6MUX21",
  21035.           "parameters": {
  21036.           },
  21037.           "attributes": {
  21038.             "module_not_derived": 1,
  21039.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:152"
  21040.           },
  21041.           "port_directions": {
  21042.             "D0": "input",
  21043.             "D1": "input",
  21044.             "SD": "input",
  21045.             "Z": "output"
  21046.           },
  21047.           "connections": {
  21048.             "D0": [ 233 ],
  21049.             "D1": [ 234 ],
  21050.             "SD": [ 235 ],
  21051.             "Z": [ 215 ]
  21052.           }
  21053.         },
  21054.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2066": {
  21055.           "hide_name": 1,
  21056.           "type": "LUT4",
  21057.           "parameters": {
  21058.             "INIT": 45067
  21059.           },
  21060.           "attributes": {
  21061.             "module_not_derived": 1,
  21062.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  21063.           },
  21064.           "port_directions": {
  21065.             "A": "input",
  21066.             "B": "input",
  21067.             "C": "input",
  21068.             "D": "input",
  21069.             "Z": "output"
  21070.           },
  21071.           "connections": {
  21072.             "A": [ 236 ],
  21073.             "B": [ 237 ],
  21074.             "C": [ 238 ],
  21075.             "D": [ 239 ],
  21076.             "Z": [ 198 ]
  21077.           }
  21078.         },
  21079.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.lut0": {
  21080.           "hide_name": 1,
  21081.           "type": "LUT4",
  21082.           "parameters": {
  21083.             "INIT": 45243
  21084.           },
  21085.           "attributes": {
  21086.             "module_not_derived": 1,
  21087.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:140"
  21088.           },
  21089.           "port_directions": {
  21090.             "A": "input",
  21091.             "B": "input",
  21092.             "C": "input",
  21093.             "D": "input",
  21094.             "Z": "output"
  21095.           },
  21096.           "connections": {
  21097.             "A": [ 225 ],
  21098.             "B": [ 224 ],
  21099.             "C": [ 227 ],
  21100.             "D": [ 226 ],
  21101.             "Z": [ 240 ]
  21102.           }
  21103.         },
  21104.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.lut1": {
  21105.           "hide_name": 1,
  21106.           "type": "LUT4",
  21107.           "parameters": {
  21108.             "INIT": 45243
  21109.           },
  21110.           "attributes": {
  21111.             "module_not_derived": 1,
  21112.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:142"
  21113.           },
  21114.           "port_directions": {
  21115.             "A": "input",
  21116.             "B": "input",
  21117.             "C": "input",
  21118.             "D": "input",
  21119.             "Z": "output"
  21120.           },
  21121.           "connections": {
  21122.             "A": [ 225 ],
  21123.             "B": [ 224 ],
  21124.             "C": [ 227 ],
  21125.             "D": [ 226 ],
  21126.             "Z": [ 241 ]
  21127.           }
  21128.         },
  21129.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.lut2": {
  21130.           "hide_name": 1,
  21131.           "type": "LUT4",
  21132.           "parameters": {
  21133.             "INIT": 0
  21134.           },
  21135.           "attributes": {
  21136.             "module_not_derived": 1,
  21137.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:145"
  21138.           },
  21139.           "port_directions": {
  21140.             "A": "input",
  21141.             "B": "input",
  21142.             "C": "input",
  21143.             "D": "input",
  21144.             "Z": "output"
  21145.           },
  21146.           "connections": {
  21147.             "A": [ 225 ],
  21148.             "B": [ 224 ],
  21149.             "C": [ 227 ],
  21150.             "D": [ 226 ],
  21151.             "Z": [ 242 ]
  21152.           }
  21153.         },
  21154.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.lut3": {
  21155.           "hide_name": 1,
  21156.           "type": "LUT4",
  21157.           "parameters": {
  21158.             "INIT": 45243
  21159.           },
  21160.           "attributes": {
  21161.             "module_not_derived": 1,
  21162.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:147"
  21163.           },
  21164.           "port_directions": {
  21165.             "A": "input",
  21166.             "B": "input",
  21167.             "C": "input",
  21168.             "D": "input",
  21169.             "Z": "output"
  21170.           },
  21171.           "connections": {
  21172.             "A": [ 225 ],
  21173.             "B": [ 224 ],
  21174.             "C": [ 227 ],
  21175.             "D": [ 226 ],
  21176.             "Z": [ 243 ]
  21177.           }
  21178.         },
  21179.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.mux50": {
  21180.           "hide_name": 1,
  21181.           "type": "PFUMX",
  21182.           "parameters": {
  21183.           },
  21184.           "attributes": {
  21185.             "module_not_derived": 1,
  21186.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:150"
  21187.           },
  21188.           "port_directions": {
  21189.             "ALUT": "input",
  21190.             "BLUT": "input",
  21191.             "C0": "input",
  21192.             "Z": "output"
  21193.           },
  21194.           "connections": {
  21195.             "ALUT": [ 241 ],
  21196.             "BLUT": [ 240 ],
  21197.             "C0": [ 237 ],
  21198.             "Z": [ 244 ]
  21199.           }
  21200.         },
  21201.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.mux51": {
  21202.           "hide_name": 1,
  21203.           "type": "PFUMX",
  21204.           "parameters": {
  21205.           },
  21206.           "attributes": {
  21207.             "module_not_derived": 1,
  21208.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:151"
  21209.           },
  21210.           "port_directions": {
  21211.             "ALUT": "input",
  21212.             "BLUT": "input",
  21213.             "C0": "input",
  21214.             "Z": "output"
  21215.           },
  21216.           "connections": {
  21217.             "ALUT": [ 243 ],
  21218.             "BLUT": [ 242 ],
  21219.             "C0": [ 237 ],
  21220.             "Z": [ 245 ]
  21221.           }
  21222.         },
  21223.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.mux6": {
  21224.           "hide_name": 1,
  21225.           "type": "L6MUX21",
  21226.           "parameters": {
  21227.           },
  21228.           "attributes": {
  21229.             "module_not_derived": 1,
  21230.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:152"
  21231.           },
  21232.           "port_directions": {
  21233.             "D0": "input",
  21234.             "D1": "input",
  21235.             "SD": "input",
  21236.             "Z": "output"
  21237.           },
  21238.           "connections": {
  21239.             "D0": [ 244 ],
  21240.             "D1": [ 245 ],
  21241.             "SD": [ 236 ],
  21242.             "Z": [ 199 ]
  21243.           }
  21244.         },
  21245.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2068": {
  21246.           "hide_name": 1,
  21247.           "type": "LUT4",
  21248.           "parameters": {
  21249.             "INIT": 45067
  21250.           },
  21251.           "attributes": {
  21252.             "module_not_derived": 1,
  21253.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  21254.           },
  21255.           "port_directions": {
  21256.             "A": "input",
  21257.             "B": "input",
  21258.             "C": "input",
  21259.             "D": "input",
  21260.             "Z": "output"
  21261.           },
  21262.           "connections": {
  21263.             "A": [ 221 ],
  21264.             "B": [ 220 ],
  21265.             "C": [ 246 ],
  21266.             "D": [ 247 ],
  21267.             "Z": [ 200 ]
  21268.           }
  21269.         },
  21270.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2069": {
  21271.           "hide_name": 1,
  21272.           "type": "LUT4",
  21273.           "parameters": {
  21274.             "INIT": 36873
  21275.           },
  21276.           "attributes": {
  21277.             "module_not_derived": 1,
  21278.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:126"
  21279.           },
  21280.           "port_directions": {
  21281.             "A": "input",
  21282.             "B": "input",
  21283.             "C": "input",
  21284.             "D": "input",
  21285.             "Z": "output"
  21286.           },
  21287.           "connections": {
  21288.             "A": [ 248 ],
  21289.             "B": [ 249 ],
  21290.             "C": [ 250 ],
  21291.             "D": [ 251 ],
  21292.             "Z": [ 201 ]
  21293.           }
  21294.         },
  21295.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2070": {
  21296.           "hide_name": 1,
  21297.           "type": "LUT4",
  21298.           "parameters": {
  21299.             "INIT": 1
  21300.           },
  21301.           "attributes": {
  21302.             "module_not_derived": 1,
  21303.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21304.           },
  21305.           "port_directions": {
  21306.             "A": "input",
  21307.             "B": "input",
  21308.             "C": "input",
  21309.             "D": "input",
  21310.             "Z": "output"
  21311.           },
  21312.           "connections": {
  21313.             "A": [ 252 ],
  21314.             "B": [ "0" ],
  21315.             "C": [ "0" ],
  21316.             "D": [ "0" ],
  21317.             "Z": [ 253 ]
  21318.           }
  21319.         },
  21320.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2071": {
  21321.           "hide_name": 1,
  21322.           "type": "LUT4",
  21323.           "parameters": {
  21324.             "INIT": 1
  21325.           },
  21326.           "attributes": {
  21327.             "module_not_derived": 1,
  21328.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21329.           },
  21330.           "port_directions": {
  21331.             "A": "input",
  21332.             "B": "input",
  21333.             "C": "input",
  21334.             "D": "input",
  21335.             "Z": "output"
  21336.           },
  21337.           "connections": {
  21338.             "A": [ 254 ],
  21339.             "B": [ "0" ],
  21340.             "C": [ "0" ],
  21341.             "D": [ "0" ],
  21342.             "Z": [ 255 ]
  21343.           }
  21344.         },
  21345.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2072": {
  21346.           "hide_name": 1,
  21347.           "type": "LUT4",
  21348.           "parameters": {
  21349.             "INIT": 1
  21350.           },
  21351.           "attributes": {
  21352.             "module_not_derived": 1,
  21353.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21354.           },
  21355.           "port_directions": {
  21356.             "A": "input",
  21357.             "B": "input",
  21358.             "C": "input",
  21359.             "D": "input",
  21360.             "Z": "output"
  21361.           },
  21362.           "connections": {
  21363.             "A": [ 256 ],
  21364.             "B": [ "0" ],
  21365.             "C": [ "0" ],
  21366.             "D": [ "0" ],
  21367.             "Z": [ 257 ]
  21368.           }
  21369.         },
  21370.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2073": {
  21371.           "hide_name": 1,
  21372.           "type": "LUT4",
  21373.           "parameters": {
  21374.             "INIT": 1
  21375.           },
  21376.           "attributes": {
  21377.             "module_not_derived": 1,
  21378.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21379.           },
  21380.           "port_directions": {
  21381.             "A": "input",
  21382.             "B": "input",
  21383.             "C": "input",
  21384.             "D": "input",
  21385.             "Z": "output"
  21386.           },
  21387.           "connections": {
  21388.             "A": [ 258 ],
  21389.             "B": [ "0" ],
  21390.             "C": [ "0" ],
  21391.             "D": [ "0" ],
  21392.             "Z": [ 259 ]
  21393.           }
  21394.         },
  21395.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2074": {
  21396.           "hide_name": 1,
  21397.           "type": "LUT4",
  21398.           "parameters": {
  21399.             "INIT": 1
  21400.           },
  21401.           "attributes": {
  21402.             "module_not_derived": 1,
  21403.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21404.           },
  21405.           "port_directions": {
  21406.             "A": "input",
  21407.             "B": "input",
  21408.             "C": "input",
  21409.             "D": "input",
  21410.             "Z": "output"
  21411.           },
  21412.           "connections": {
  21413.             "A": [ 260 ],
  21414.             "B": [ "0" ],
  21415.             "C": [ "0" ],
  21416.             "D": [ "0" ],
  21417.             "Z": [ 261 ]
  21418.           }
  21419.         },
  21420.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2075": {
  21421.           "hide_name": 1,
  21422.           "type": "LUT4",
  21423.           "parameters": {
  21424.             "INIT": 1
  21425.           },
  21426.           "attributes": {
  21427.             "module_not_derived": 1,
  21428.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21429.           },
  21430.           "port_directions": {
  21431.             "A": "input",
  21432.             "B": "input",
  21433.             "C": "input",
  21434.             "D": "input",
  21435.             "Z": "output"
  21436.           },
  21437.           "connections": {
  21438.             "A": [ 262 ],
  21439.             "B": [ "0" ],
  21440.             "C": [ "0" ],
  21441.             "D": [ "0" ],
  21442.             "Z": [ 263 ]
  21443.           }
  21444.         },
  21445.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2076": {
  21446.           "hide_name": 1,
  21447.           "type": "LUT4",
  21448.           "parameters": {
  21449.             "INIT": 1
  21450.           },
  21451.           "attributes": {
  21452.             "module_not_derived": 1,
  21453.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21454.           },
  21455.           "port_directions": {
  21456.             "A": "input",
  21457.             "B": "input",
  21458.             "C": "input",
  21459.             "D": "input",
  21460.             "Z": "output"
  21461.           },
  21462.           "connections": {
  21463.             "A": [ 264 ],
  21464.             "B": [ "0" ],
  21465.             "C": [ "0" ],
  21466.             "D": [ "0" ],
  21467.             "Z": [ 265 ]
  21468.           }
  21469.         },
  21470.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2077": {
  21471.           "hide_name": 1,
  21472.           "type": "LUT4",
  21473.           "parameters": {
  21474.             "INIT": 1
  21475.           },
  21476.           "attributes": {
  21477.             "module_not_derived": 1,
  21478.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:114"
  21479.           },
  21480.           "port_directions": {
  21481.             "A": "input",
  21482.             "B": "input",
  21483.             "C": "input",
  21484.             "D": "input",
  21485.             "Z": "output"
  21486.           },
  21487.           "connections": {
  21488.             "A": [ 3 ],
  21489.             "B": [ "0" ],
  21490.             "C": [ "0" ],
  21491.             "D": [ "0" ],
  21492.             "Z": [ 266 ]
  21493.           }
  21494.         },
  21495.         "$auto$alumacc.cc:474:replace_alu$277.slice[0].ccu2c_i": {
  21496.           "hide_name": 1,
  21497.           "type": "CCU2C",
  21498.           "parameters": {
  21499.             "INIT0": 38570,
  21500.             "INIT1": 38570,
  21501.             "INJECT1_0": "NO",
  21502.             "INJECT1_1": "NO"
  21503.           },
  21504.           "attributes": {
  21505.             "module_not_derived": 1,
  21506.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21507.           },
  21508.           "port_directions": {
  21509.             "A0": "input",
  21510.             "A1": "input",
  21511.             "B0": "input",
  21512.             "B1": "input",
  21513.             "C0": "input",
  21514.             "C1": "input",
  21515.             "CIN": "input",
  21516.             "COUT": "output",
  21517.             "D0": "input",
  21518.             "D1": "input",
  21519.             "S0": "output",
  21520.             "S1": "output"
  21521.           },
  21522.           "connections": {
  21523.             "A0": [ 232 ],
  21524.             "A1": [ 225 ],
  21525.             "B0": [ 267 ],
  21526.             "B1": [ 268 ],
  21527.             "C0": [ "1" ],
  21528.             "C1": [ "1" ],
  21529.             "CIN": [ "1" ],
  21530.             "COUT": [ 269 ],
  21531.             "D0": [ "1" ],
  21532.             "D1": [ "1" ],
  21533.             "S0": [ 270 ],
  21534.             "S1": [ 271 ]
  21535.           }
  21536.         },
  21537.         "$auto$alumacc.cc:474:replace_alu$277.slice[2].ccu2c_i": {
  21538.           "hide_name": 1,
  21539.           "type": "CCU2C",
  21540.           "parameters": {
  21541.             "INIT0": 38570,
  21542.             "INIT1": 38570,
  21543.             "INJECT1_0": "NO",
  21544.             "INJECT1_1": "NO"
  21545.           },
  21546.           "attributes": {
  21547.             "module_not_derived": 1,
  21548.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21549.           },
  21550.           "port_directions": {
  21551.             "A0": "input",
  21552.             "A1": "input",
  21553.             "B0": "input",
  21554.             "B1": "input",
  21555.             "C0": "input",
  21556.             "C1": "input",
  21557.             "CIN": "input",
  21558.             "COUT": "output",
  21559.             "D0": "input",
  21560.             "D1": "input",
  21561.             "S0": "output",
  21562.             "S1": "output"
  21563.           },
  21564.           "connections": {
  21565.             "A0": [ 222 ],
  21566.             "A1": [ 220 ],
  21567.             "B0": [ 272 ],
  21568.             "B1": [ 273 ],
  21569.             "C0": [ "1" ],
  21570.             "C1": [ "1" ],
  21571.             "CIN": [ 269 ],
  21572.             "COUT": [ 274 ],
  21573.             "D0": [ "1" ],
  21574.             "D1": [ "1" ],
  21575.             "S0": [ 275 ],
  21576.             "S1": [ 276 ]
  21577.           }
  21578.         },
  21579.         "$auto$alumacc.cc:474:replace_alu$277.slice[4].ccu2c_i": {
  21580.           "hide_name": 1,
  21581.           "type": "CCU2C",
  21582.           "parameters": {
  21583.             "INIT0": 38570,
  21584.             "INIT1": 38570,
  21585.             "INJECT1_0": "NO",
  21586.             "INJECT1_1": "NO"
  21587.           },
  21588.           "attributes": {
  21589.             "module_not_derived": 1,
  21590.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21591.           },
  21592.           "port_directions": {
  21593.             "A0": "input",
  21594.             "A1": "input",
  21595.             "B0": "input",
  21596.             "B1": "input",
  21597.             "C0": "input",
  21598.             "C1": "input",
  21599.             "CIN": "input",
  21600.             "COUT": "output",
  21601.             "D0": "input",
  21602.             "D1": "input",
  21603.             "S0": "output",
  21604.             "S1": "output"
  21605.           },
  21606.           "connections": {
  21607.             "A0": [ 246 ],
  21608.             "A1": [ 227 ],
  21609.             "B0": [ 277 ],
  21610.             "B1": [ 278 ],
  21611.             "C0": [ "1" ],
  21612.             "C1": [ "1" ],
  21613.             "CIN": [ 274 ],
  21614.             "COUT": [ 279 ],
  21615.             "D0": [ "1" ],
  21616.             "D1": [ "1" ],
  21617.             "S0": [ 280 ],
  21618.             "S1": [ 281 ]
  21619.           }
  21620.         },
  21621.         "$auto$alumacc.cc:474:replace_alu$277.slice[6].ccu2c_i": {
  21622.           "hide_name": 1,
  21623.           "type": "CCU2C",
  21624.           "parameters": {
  21625.             "INIT0": 38570,
  21626.             "INIT1": 38570,
  21627.             "INJECT1_0": "NO",
  21628.             "INJECT1_1": "NO"
  21629.           },
  21630.           "attributes": {
  21631.             "module_not_derived": 1,
  21632.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21633.           },
  21634.           "port_directions": {
  21635.             "A0": "input",
  21636.             "A1": "input",
  21637.             "B0": "input",
  21638.             "B1": "input",
  21639.             "C0": "input",
  21640.             "C1": "input",
  21641.             "CIN": "input",
  21642.             "COUT": "output",
  21643.             "D0": "input",
  21644.             "D1": "input",
  21645.             "S0": "output",
  21646.             "S1": "output"
  21647.           },
  21648.           "connections": {
  21649.             "A0": [ 248 ],
  21650.             "A1": [ 236 ],
  21651.             "B0": [ 282 ],
  21652.             "B1": [ 283 ],
  21653.             "C0": [ "1" ],
  21654.             "C1": [ "1" ],
  21655.             "CIN": [ 279 ],
  21656.             "COUT": [ 284 ],
  21657.             "D0": [ "1" ],
  21658.             "D1": [ "1" ],
  21659.             "S0": [ 285 ],
  21660.             "S1": [ 286 ]
  21661.           }
  21662.         },
  21663.         "$auto$alumacc.cc:474:replace_alu$277.slice[8].ccu2c_i": {
  21664.           "hide_name": 1,
  21665.           "type": "CCU2C",
  21666.           "parameters": {
  21667.             "INIT0": 38570,
  21668.             "INIT1": 38570,
  21669.             "INJECT1_0": "NO",
  21670.             "INJECT1_1": "NO"
  21671.           },
  21672.           "attributes": {
  21673.             "module_not_derived": 1,
  21674.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21675.           },
  21676.           "port_directions": {
  21677.             "A0": "input",
  21678.             "A1": "input",
  21679.             "B0": "input",
  21680.             "B1": "input",
  21681.             "C0": "input",
  21682.             "C1": "input",
  21683.             "CIN": "input",
  21684.             "COUT": "output",
  21685.             "D0": "input",
  21686.             "D1": "input",
  21687.             "S0": "output",
  21688.             "S1": "output"
  21689.           },
  21690.           "connections": {
  21691.             "A0": [ 238 ],
  21692.             "A1": [ 250 ],
  21693.             "B0": [ 287 ],
  21694.             "B1": [ 288 ],
  21695.             "C0": [ "1" ],
  21696.             "C1": [ "1" ],
  21697.             "CIN": [ 284 ],
  21698.             "COUT": [ 252 ],
  21699.             "D0": [ "1" ],
  21700.             "D1": [ "1" ],
  21701.             "S0": [ 289 ],
  21702.             "S1": [ 290 ]
  21703.           }
  21704.         },
  21705.         "$auto$alumacc.cc:474:replace_alu$282.slice[0].ccu2c_i": {
  21706.           "hide_name": 1,
  21707.           "type": "CCU2C",
  21708.           "parameters": {
  21709.             "INIT0": 38570,
  21710.             "INIT1": 38570,
  21711.             "INJECT1_0": "NO",
  21712.             "INJECT1_1": "NO"
  21713.           },
  21714.           "attributes": {
  21715.             "module_not_derived": 1,
  21716.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21717.           },
  21718.           "port_directions": {
  21719.             "A0": "input",
  21720.             "A1": "input",
  21721.             "B0": "input",
  21722.             "B1": "input",
  21723.             "C0": "input",
  21724.             "C1": "input",
  21725.             "CIN": "input",
  21726.             "COUT": "output",
  21727.             "D0": "input",
  21728.             "D1": "input",
  21729.             "S0": "output",
  21730.             "S1": "output"
  21731.           },
  21732.           "connections": {
  21733.             "A0": [ 232 ],
  21734.             "A1": [ 225 ],
  21735.             "B0": [ 291 ],
  21736.             "B1": [ 292 ],
  21737.             "C0": [ "1" ],
  21738.             "C1": [ "1" ],
  21739.             "CIN": [ "1" ],
  21740.             "COUT": [ 293 ],
  21741.             "D0": [ "1" ],
  21742.             "D1": [ "1" ],
  21743.             "S0": [ 294 ],
  21744.             "S1": [ 295 ]
  21745.           }
  21746.         },
  21747.         "$auto$alumacc.cc:474:replace_alu$282.slice[2].ccu2c_i": {
  21748.           "hide_name": 1,
  21749.           "type": "CCU2C",
  21750.           "parameters": {
  21751.             "INIT0": 38570,
  21752.             "INIT1": 38570,
  21753.             "INJECT1_0": "NO",
  21754.             "INJECT1_1": "NO"
  21755.           },
  21756.           "attributes": {
  21757.             "module_not_derived": 1,
  21758.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21759.           },
  21760.           "port_directions": {
  21761.             "A0": "input",
  21762.             "A1": "input",
  21763.             "B0": "input",
  21764.             "B1": "input",
  21765.             "C0": "input",
  21766.             "C1": "input",
  21767.             "CIN": "input",
  21768.             "COUT": "output",
  21769.             "D0": "input",
  21770.             "D1": "input",
  21771.             "S0": "output",
  21772.             "S1": "output"
  21773.           },
  21774.           "connections": {
  21775.             "A0": [ 222 ],
  21776.             "A1": [ 220 ],
  21777.             "B0": [ 296 ],
  21778.             "B1": [ 297 ],
  21779.             "C0": [ "1" ],
  21780.             "C1": [ "1" ],
  21781.             "CIN": [ 293 ],
  21782.             "COUT": [ 298 ],
  21783.             "D0": [ "1" ],
  21784.             "D1": [ "1" ],
  21785.             "S0": [ 299 ],
  21786.             "S1": [ 300 ]
  21787.           }
  21788.         },
  21789.         "$auto$alumacc.cc:474:replace_alu$282.slice[4].ccu2c_i": {
  21790.           "hide_name": 1,
  21791.           "type": "CCU2C",
  21792.           "parameters": {
  21793.             "INIT0": 38570,
  21794.             "INIT1": 38570,
  21795.             "INJECT1_0": "NO",
  21796.             "INJECT1_1": "NO"
  21797.           },
  21798.           "attributes": {
  21799.             "module_not_derived": 1,
  21800.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21801.           },
  21802.           "port_directions": {
  21803.             "A0": "input",
  21804.             "A1": "input",
  21805.             "B0": "input",
  21806.             "B1": "input",
  21807.             "C0": "input",
  21808.             "C1": "input",
  21809.             "CIN": "input",
  21810.             "COUT": "output",
  21811.             "D0": "input",
  21812.             "D1": "input",
  21813.             "S0": "output",
  21814.             "S1": "output"
  21815.           },
  21816.           "connections": {
  21817.             "A0": [ 246 ],
  21818.             "A1": [ 227 ],
  21819.             "B0": [ 301 ],
  21820.             "B1": [ 302 ],
  21821.             "C0": [ "1" ],
  21822.             "C1": [ "1" ],
  21823.             "CIN": [ 298 ],
  21824.             "COUT": [ 303 ],
  21825.             "D0": [ "1" ],
  21826.             "D1": [ "1" ],
  21827.             "S0": [ 304 ],
  21828.             "S1": [ 305 ]
  21829.           }
  21830.         },
  21831.         "$auto$alumacc.cc:474:replace_alu$282.slice[6].ccu2c_i": {
  21832.           "hide_name": 1,
  21833.           "type": "CCU2C",
  21834.           "parameters": {
  21835.             "INIT0": 38570,
  21836.             "INIT1": 38570,
  21837.             "INJECT1_0": "NO",
  21838.             "INJECT1_1": "NO"
  21839.           },
  21840.           "attributes": {
  21841.             "module_not_derived": 1,
  21842.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21843.           },
  21844.           "port_directions": {
  21845.             "A0": "input",
  21846.             "A1": "input",
  21847.             "B0": "input",
  21848.             "B1": "input",
  21849.             "C0": "input",
  21850.             "C1": "input",
  21851.             "CIN": "input",
  21852.             "COUT": "output",
  21853.             "D0": "input",
  21854.             "D1": "input",
  21855.             "S0": "output",
  21856.             "S1": "output"
  21857.           },
  21858.           "connections": {
  21859.             "A0": [ 248 ],
  21860.             "A1": [ 236 ],
  21861.             "B0": [ 306 ],
  21862.             "B1": [ 307 ],
  21863.             "C0": [ "1" ],
  21864.             "C1": [ "1" ],
  21865.             "CIN": [ 303 ],
  21866.             "COUT": [ 308 ],
  21867.             "D0": [ "1" ],
  21868.             "D1": [ "1" ],
  21869.             "S0": [ 309 ],
  21870.             "S1": [ 310 ]
  21871.           }
  21872.         },
  21873.         "$auto$alumacc.cc:474:replace_alu$282.slice[8].ccu2c_i": {
  21874.           "hide_name": 1,
  21875.           "type": "CCU2C",
  21876.           "parameters": {
  21877.             "INIT0": 38570,
  21878.             "INIT1": 38570,
  21879.             "INJECT1_0": "NO",
  21880.             "INJECT1_1": "NO"
  21881.           },
  21882.           "attributes": {
  21883.             "module_not_derived": 1,
  21884.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21885.           },
  21886.           "port_directions": {
  21887.             "A0": "input",
  21888.             "A1": "input",
  21889.             "B0": "input",
  21890.             "B1": "input",
  21891.             "C0": "input",
  21892.             "C1": "input",
  21893.             "CIN": "input",
  21894.             "COUT": "output",
  21895.             "D0": "input",
  21896.             "D1": "input",
  21897.             "S0": "output",
  21898.             "S1": "output"
  21899.           },
  21900.           "connections": {
  21901.             "A0": [ 238 ],
  21902.             "A1": [ 250 ],
  21903.             "B0": [ 311 ],
  21904.             "B1": [ 312 ],
  21905.             "C0": [ "1" ],
  21906.             "C1": [ "1" ],
  21907.             "CIN": [ 308 ],
  21908.             "COUT": [ 258 ],
  21909.             "D0": [ "1" ],
  21910.             "D1": [ "1" ],
  21911.             "S0": [ 313 ],
  21912.             "S1": [ 314 ]
  21913.           }
  21914.         },
  21915.         "$auto$alumacc.cc:474:replace_alu$287.slice[0].ccu2c_i": {
  21916.           "hide_name": 1,
  21917.           "type": "CCU2C",
  21918.           "parameters": {
  21919.             "INIT0": 38570,
  21920.             "INIT1": 38570,
  21921.             "INJECT1_0": "NO",
  21922.             "INJECT1_1": "NO"
  21923.           },
  21924.           "attributes": {
  21925.             "module_not_derived": 1,
  21926.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21927.           },
  21928.           "port_directions": {
  21929.             "A0": "input",
  21930.             "A1": "input",
  21931.             "B0": "input",
  21932.             "B1": "input",
  21933.             "C0": "input",
  21934.             "C1": "input",
  21935.             "CIN": "input",
  21936.             "COUT": "output",
  21937.             "D0": "input",
  21938.             "D1": "input",
  21939.             "S0": "output",
  21940.             "S1": "output"
  21941.           },
  21942.           "connections": {
  21943.             "A0": [ 232 ],
  21944.             "A1": [ 225 ],
  21945.             "B0": [ 315 ],
  21946.             "B1": [ 316 ],
  21947.             "C0": [ "1" ],
  21948.             "C1": [ "1" ],
  21949.             "CIN": [ "1" ],
  21950.             "COUT": [ 317 ],
  21951.             "D0": [ "1" ],
  21952.             "D1": [ "1" ],
  21953.             "S0": [ 318 ],
  21954.             "S1": [ 319 ]
  21955.           }
  21956.         },
  21957.         "$auto$alumacc.cc:474:replace_alu$287.slice[2].ccu2c_i": {
  21958.           "hide_name": 1,
  21959.           "type": "CCU2C",
  21960.           "parameters": {
  21961.             "INIT0": 38570,
  21962.             "INIT1": 38570,
  21963.             "INJECT1_0": "NO",
  21964.             "INJECT1_1": "NO"
  21965.           },
  21966.           "attributes": {
  21967.             "module_not_derived": 1,
  21968.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  21969.           },
  21970.           "port_directions": {
  21971.             "A0": "input",
  21972.             "A1": "input",
  21973.             "B0": "input",
  21974.             "B1": "input",
  21975.             "C0": "input",
  21976.             "C1": "input",
  21977.             "CIN": "input",
  21978.             "COUT": "output",
  21979.             "D0": "input",
  21980.             "D1": "input",
  21981.             "S0": "output",
  21982.             "S1": "output"
  21983.           },
  21984.           "connections": {
  21985.             "A0": [ 222 ],
  21986.             "A1": [ 220 ],
  21987.             "B0": [ 320 ],
  21988.             "B1": [ 321 ],
  21989.             "C0": [ "1" ],
  21990.             "C1": [ "1" ],
  21991.             "CIN": [ 317 ],
  21992.             "COUT": [ 322 ],
  21993.             "D0": [ "1" ],
  21994.             "D1": [ "1" ],
  21995.             "S0": [ 323 ],
  21996.             "S1": [ 324 ]
  21997.           }
  21998.         },
  21999.         "$auto$alumacc.cc:474:replace_alu$287.slice[4].ccu2c_i": {
  22000.           "hide_name": 1,
  22001.           "type": "CCU2C",
  22002.           "parameters": {
  22003.             "INIT0": 38570,
  22004.             "INIT1": 38570,
  22005.             "INJECT1_0": "NO",
  22006.             "INJECT1_1": "NO"
  22007.           },
  22008.           "attributes": {
  22009.             "module_not_derived": 1,
  22010.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22011.           },
  22012.           "port_directions": {
  22013.             "A0": "input",
  22014.             "A1": "input",
  22015.             "B0": "input",
  22016.             "B1": "input",
  22017.             "C0": "input",
  22018.             "C1": "input",
  22019.             "CIN": "input",
  22020.             "COUT": "output",
  22021.             "D0": "input",
  22022.             "D1": "input",
  22023.             "S0": "output",
  22024.             "S1": "output"
  22025.           },
  22026.           "connections": {
  22027.             "A0": [ 246 ],
  22028.             "A1": [ 227 ],
  22029.             "B0": [ 325 ],
  22030.             "B1": [ 326 ],
  22031.             "C0": [ "1" ],
  22032.             "C1": [ "1" ],
  22033.             "CIN": [ 322 ],
  22034.             "COUT": [ 327 ],
  22035.             "D0": [ "1" ],
  22036.             "D1": [ "1" ],
  22037.             "S0": [ 328 ],
  22038.             "S1": [ 329 ]
  22039.           }
  22040.         },
  22041.         "$auto$alumacc.cc:474:replace_alu$287.slice[6].ccu2c_i": {
  22042.           "hide_name": 1,
  22043.           "type": "CCU2C",
  22044.           "parameters": {
  22045.             "INIT0": 38570,
  22046.             "INIT1": 38570,
  22047.             "INJECT1_0": "NO",
  22048.             "INJECT1_1": "NO"
  22049.           },
  22050.           "attributes": {
  22051.             "module_not_derived": 1,
  22052.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22053.           },
  22054.           "port_directions": {
  22055.             "A0": "input",
  22056.             "A1": "input",
  22057.             "B0": "input",
  22058.             "B1": "input",
  22059.             "C0": "input",
  22060.             "C1": "input",
  22061.             "CIN": "input",
  22062.             "COUT": "output",
  22063.             "D0": "input",
  22064.             "D1": "input",
  22065.             "S0": "output",
  22066.             "S1": "output"
  22067.           },
  22068.           "connections": {
  22069.             "A0": [ 248 ],
  22070.             "A1": [ 236 ],
  22071.             "B0": [ 330 ],
  22072.             "B1": [ 331 ],
  22073.             "C0": [ "1" ],
  22074.             "C1": [ "1" ],
  22075.             "CIN": [ 327 ],
  22076.             "COUT": [ 332 ],
  22077.             "D0": [ "1" ],
  22078.             "D1": [ "1" ],
  22079.             "S0": [ 333 ],
  22080.             "S1": [ 334 ]
  22081.           }
  22082.         },
  22083.         "$auto$alumacc.cc:474:replace_alu$287.slice[8].ccu2c_i": {
  22084.           "hide_name": 1,
  22085.           "type": "CCU2C",
  22086.           "parameters": {
  22087.             "INIT0": 38570,
  22088.             "INIT1": 38570,
  22089.             "INJECT1_0": "NO",
  22090.             "INJECT1_1": "NO"
  22091.           },
  22092.           "attributes": {
  22093.             "module_not_derived": 1,
  22094.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22095.           },
  22096.           "port_directions": {
  22097.             "A0": "input",
  22098.             "A1": "input",
  22099.             "B0": "input",
  22100.             "B1": "input",
  22101.             "C0": "input",
  22102.             "C1": "input",
  22103.             "CIN": "input",
  22104.             "COUT": "output",
  22105.             "D0": "input",
  22106.             "D1": "input",
  22107.             "S0": "output",
  22108.             "S1": "output"
  22109.           },
  22110.           "connections": {
  22111.             "A0": [ 238 ],
  22112.             "A1": [ 250 ],
  22113.             "B0": [ 335 ],
  22114.             "B1": [ 336 ],
  22115.             "C0": [ "1" ],
  22116.             "C1": [ "1" ],
  22117.             "CIN": [ 332 ],
  22118.             "COUT": [ 262 ],
  22119.             "D0": [ "1" ],
  22120.             "D1": [ "1" ],
  22121.             "S0": [ 337 ],
  22122.             "S1": [ 338 ]
  22123.           }
  22124.         },
  22125.         "$auto$alumacc.cc:474:replace_alu$292.slice[0].ccu2c_i": {
  22126.           "hide_name": 1,
  22127.           "type": "CCU2C",
  22128.           "parameters": {
  22129.             "INIT0": 38570,
  22130.             "INIT1": 38570,
  22131.             "INJECT1_0": "NO",
  22132.             "INJECT1_1": "NO"
  22133.           },
  22134.           "attributes": {
  22135.             "module_not_derived": 1,
  22136.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22137.           },
  22138.           "port_directions": {
  22139.             "A0": "input",
  22140.             "A1": "input",
  22141.             "B0": "input",
  22142.             "B1": "input",
  22143.             "C0": "input",
  22144.             "C1": "input",
  22145.             "CIN": "input",
  22146.             "COUT": "output",
  22147.             "D0": "input",
  22148.             "D1": "input",
  22149.             "S0": "output",
  22150.             "S1": "output"
  22151.           },
  22152.           "connections": {
  22153.             "A0": [ 232 ],
  22154.             "A1": [ 225 ],
  22155.             "B0": [ 339 ],
  22156.             "B1": [ 340 ],
  22157.             "C0": [ "1" ],
  22158.             "C1": [ "1" ],
  22159.             "CIN": [ "1" ],
  22160.             "COUT": [ 341 ],
  22161.             "D0": [ "1" ],
  22162.             "D1": [ "1" ],
  22163.             "S0": [ 342 ],
  22164.             "S1": [ 343 ]
  22165.           }
  22166.         },
  22167.         "$auto$alumacc.cc:474:replace_alu$292.slice[2].ccu2c_i": {
  22168.           "hide_name": 1,
  22169.           "type": "CCU2C",
  22170.           "parameters": {
  22171.             "INIT0": 38570,
  22172.             "INIT1": 38570,
  22173.             "INJECT1_0": "NO",
  22174.             "INJECT1_1": "NO"
  22175.           },
  22176.           "attributes": {
  22177.             "module_not_derived": 1,
  22178.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22179.           },
  22180.           "port_directions": {
  22181.             "A0": "input",
  22182.             "A1": "input",
  22183.             "B0": "input",
  22184.             "B1": "input",
  22185.             "C0": "input",
  22186.             "C1": "input",
  22187.             "CIN": "input",
  22188.             "COUT": "output",
  22189.             "D0": "input",
  22190.             "D1": "input",
  22191.             "S0": "output",
  22192.             "S1": "output"
  22193.           },
  22194.           "connections": {
  22195.             "A0": [ 222 ],
  22196.             "A1": [ 220 ],
  22197.             "B0": [ 344 ],
  22198.             "B1": [ 345 ],
  22199.             "C0": [ "1" ],
  22200.             "C1": [ "1" ],
  22201.             "CIN": [ 341 ],
  22202.             "COUT": [ 346 ],
  22203.             "D0": [ "1" ],
  22204.             "D1": [ "1" ],
  22205.             "S0": [ 347 ],
  22206.             "S1": [ 348 ]
  22207.           }
  22208.         },
  22209.         "$auto$alumacc.cc:474:replace_alu$292.slice[4].ccu2c_i": {
  22210.           "hide_name": 1,
  22211.           "type": "CCU2C",
  22212.           "parameters": {
  22213.             "INIT0": 38570,
  22214.             "INIT1": 38570,
  22215.             "INJECT1_0": "NO",
  22216.             "INJECT1_1": "NO"
  22217.           },
  22218.           "attributes": {
  22219.             "module_not_derived": 1,
  22220.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22221.           },
  22222.           "port_directions": {
  22223.             "A0": "input",
  22224.             "A1": "input",
  22225.             "B0": "input",
  22226.             "B1": "input",
  22227.             "C0": "input",
  22228.             "C1": "input",
  22229.             "CIN": "input",
  22230.             "COUT": "output",
  22231.             "D0": "input",
  22232.             "D1": "input",
  22233.             "S0": "output",
  22234.             "S1": "output"
  22235.           },
  22236.           "connections": {
  22237.             "A0": [ 246 ],
  22238.             "A1": [ 227 ],
  22239.             "B0": [ 349 ],
  22240.             "B1": [ 350 ],
  22241.             "C0": [ "1" ],
  22242.             "C1": [ "1" ],
  22243.             "CIN": [ 346 ],
  22244.             "COUT": [ 351 ],
  22245.             "D0": [ "1" ],
  22246.             "D1": [ "1" ],
  22247.             "S0": [ 352 ],
  22248.             "S1": [ 353 ]
  22249.           }
  22250.         },
  22251.         "$auto$alumacc.cc:474:replace_alu$292.slice[6].ccu2c_i": {
  22252.           "hide_name": 1,
  22253.           "type": "CCU2C",
  22254.           "parameters": {
  22255.             "INIT0": 38570,
  22256.             "INIT1": 38570,
  22257.             "INJECT1_0": "NO",
  22258.             "INJECT1_1": "NO"
  22259.           },
  22260.           "attributes": {
  22261.             "module_not_derived": 1,
  22262.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22263.           },
  22264.           "port_directions": {
  22265.             "A0": "input",
  22266.             "A1": "input",
  22267.             "B0": "input",
  22268.             "B1": "input",
  22269.             "C0": "input",
  22270.             "C1": "input",
  22271.             "CIN": "input",
  22272.             "COUT": "output",
  22273.             "D0": "input",
  22274.             "D1": "input",
  22275.             "S0": "output",
  22276.             "S1": "output"
  22277.           },
  22278.           "connections": {
  22279.             "A0": [ 248 ],
  22280.             "A1": [ 236 ],
  22281.             "B0": [ 354 ],
  22282.             "B1": [ 355 ],
  22283.             "C0": [ "1" ],
  22284.             "C1": [ "1" ],
  22285.             "CIN": [ 351 ],
  22286.             "COUT": [ 356 ],
  22287.             "D0": [ "1" ],
  22288.             "D1": [ "1" ],
  22289.             "S0": [ 357 ],
  22290.             "S1": [ 358 ]
  22291.           }
  22292.         },
  22293.         "$auto$alumacc.cc:474:replace_alu$292.slice[8].ccu2c_i": {
  22294.           "hide_name": 1,
  22295.           "type": "CCU2C",
  22296.           "parameters": {
  22297.             "INIT0": 38570,
  22298.             "INIT1": 38570,
  22299.             "INJECT1_0": "NO",
  22300.             "INJECT1_1": "NO"
  22301.           },
  22302.           "attributes": {
  22303.             "module_not_derived": 1,
  22304.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22305.           },
  22306.           "port_directions": {
  22307.             "A0": "input",
  22308.             "A1": "input",
  22309.             "B0": "input",
  22310.             "B1": "input",
  22311.             "C0": "input",
  22312.             "C1": "input",
  22313.             "CIN": "input",
  22314.             "COUT": "output",
  22315.             "D0": "input",
  22316.             "D1": "input",
  22317.             "S0": "output",
  22318.             "S1": "output"
  22319.           },
  22320.           "connections": {
  22321.             "A0": [ 238 ],
  22322.             "A1": [ 250 ],
  22323.             "B0": [ 359 ],
  22324.             "B1": [ 360 ],
  22325.             "C0": [ "1" ],
  22326.             "C1": [ "1" ],
  22327.             "CIN": [ 356 ],
  22328.             "COUT": [ 264 ],
  22329.             "D0": [ "1" ],
  22330.             "D1": [ "1" ],
  22331.             "S0": [ 361 ],
  22332.             "S1": [ 362 ]
  22333.           }
  22334.         },
  22335.         "$auto$alumacc.cc:474:replace_alu$297.slice[0].ccu2c_i": {
  22336.           "hide_name": 1,
  22337.           "type": "CCU2C",
  22338.           "parameters": {
  22339.             "INIT0": 38570,
  22340.             "INIT1": 38570,
  22341.             "INJECT1_0": "NO",
  22342.             "INJECT1_1": "NO"
  22343.           },
  22344.           "attributes": {
  22345.             "module_not_derived": 1,
  22346.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22347.           },
  22348.           "port_directions": {
  22349.             "A0": "input",
  22350.             "A1": "input",
  22351.             "B0": "input",
  22352.             "B1": "input",
  22353.             "C0": "input",
  22354.             "C1": "input",
  22355.             "CIN": "input",
  22356.             "COUT": "output",
  22357.             "D0": "input",
  22358.             "D1": "input",
  22359.             "S0": "output",
  22360.             "S1": "output"
  22361.           },
  22362.           "connections": {
  22363.             "A0": [ 232 ],
  22364.             "A1": [ 225 ],
  22365.             "B0": [ 363 ],
  22366.             "B1": [ 364 ],
  22367.             "C0": [ "1" ],
  22368.             "C1": [ "1" ],
  22369.             "CIN": [ "1" ],
  22370.             "COUT": [ 365 ],
  22371.             "D0": [ "1" ],
  22372.             "D1": [ "1" ],
  22373.             "S0": [ 366 ],
  22374.             "S1": [ 367 ]
  22375.           }
  22376.         },
  22377.         "$auto$alumacc.cc:474:replace_alu$297.slice[2].ccu2c_i": {
  22378.           "hide_name": 1,
  22379.           "type": "CCU2C",
  22380.           "parameters": {
  22381.             "INIT0": 38570,
  22382.             "INIT1": 38570,
  22383.             "INJECT1_0": "NO",
  22384.             "INJECT1_1": "NO"
  22385.           },
  22386.           "attributes": {
  22387.             "module_not_derived": 1,
  22388.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22389.           },
  22390.           "port_directions": {
  22391.             "A0": "input",
  22392.             "A1": "input",
  22393.             "B0": "input",
  22394.             "B1": "input",
  22395.             "C0": "input",
  22396.             "C1": "input",
  22397.             "CIN": "input",
  22398.             "COUT": "output",
  22399.             "D0": "input",
  22400.             "D1": "input",
  22401.             "S0": "output",
  22402.             "S1": "output"
  22403.           },
  22404.           "connections": {
  22405.             "A0": [ 222 ],
  22406.             "A1": [ 220 ],
  22407.             "B0": [ 368 ],
  22408.             "B1": [ 369 ],
  22409.             "C0": [ "1" ],
  22410.             "C1": [ "1" ],
  22411.             "CIN": [ 365 ],
  22412.             "COUT": [ 370 ],
  22413.             "D0": [ "1" ],
  22414.             "D1": [ "1" ],
  22415.             "S0": [ 371 ],
  22416.             "S1": [ 372 ]
  22417.           }
  22418.         },
  22419.         "$auto$alumacc.cc:474:replace_alu$297.slice[4].ccu2c_i": {
  22420.           "hide_name": 1,
  22421.           "type": "CCU2C",
  22422.           "parameters": {
  22423.             "INIT0": 38570,
  22424.             "INIT1": 38570,
  22425.             "INJECT1_0": "NO",
  22426.             "INJECT1_1": "NO"
  22427.           },
  22428.           "attributes": {
  22429.             "module_not_derived": 1,
  22430.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22431.           },
  22432.           "port_directions": {
  22433.             "A0": "input",
  22434.             "A1": "input",
  22435.             "B0": "input",
  22436.             "B1": "input",
  22437.             "C0": "input",
  22438.             "C1": "input",
  22439.             "CIN": "input",
  22440.             "COUT": "output",
  22441.             "D0": "input",
  22442.             "D1": "input",
  22443.             "S0": "output",
  22444.             "S1": "output"
  22445.           },
  22446.           "connections": {
  22447.             "A0": [ 246 ],
  22448.             "A1": [ 227 ],
  22449.             "B0": [ 373 ],
  22450.             "B1": [ 374 ],
  22451.             "C0": [ "1" ],
  22452.             "C1": [ "1" ],
  22453.             "CIN": [ 370 ],
  22454.             "COUT": [ 375 ],
  22455.             "D0": [ "1" ],
  22456.             "D1": [ "1" ],
  22457.             "S0": [ 376 ],
  22458.             "S1": [ 377 ]
  22459.           }
  22460.         },
  22461.         "$auto$alumacc.cc:474:replace_alu$297.slice[6].ccu2c_i": {
  22462.           "hide_name": 1,
  22463.           "type": "CCU2C",
  22464.           "parameters": {
  22465.             "INIT0": 38570,
  22466.             "INIT1": 38570,
  22467.             "INJECT1_0": "NO",
  22468.             "INJECT1_1": "NO"
  22469.           },
  22470.           "attributes": {
  22471.             "module_not_derived": 1,
  22472.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22473.           },
  22474.           "port_directions": {
  22475.             "A0": "input",
  22476.             "A1": "input",
  22477.             "B0": "input",
  22478.             "B1": "input",
  22479.             "C0": "input",
  22480.             "C1": "input",
  22481.             "CIN": "input",
  22482.             "COUT": "output",
  22483.             "D0": "input",
  22484.             "D1": "input",
  22485.             "S0": "output",
  22486.             "S1": "output"
  22487.           },
  22488.           "connections": {
  22489.             "A0": [ 248 ],
  22490.             "A1": [ 236 ],
  22491.             "B0": [ 378 ],
  22492.             "B1": [ 379 ],
  22493.             "C0": [ "1" ],
  22494.             "C1": [ "1" ],
  22495.             "CIN": [ 375 ],
  22496.             "COUT": [ 380 ],
  22497.             "D0": [ "1" ],
  22498.             "D1": [ "1" ],
  22499.             "S0": [ 381 ],
  22500.             "S1": [ 382 ]
  22501.           }
  22502.         },
  22503.         "$auto$alumacc.cc:474:replace_alu$297.slice[8].ccu2c_i": {
  22504.           "hide_name": 1,
  22505.           "type": "CCU2C",
  22506.           "parameters": {
  22507.             "INIT0": 38570,
  22508.             "INIT1": 38570,
  22509.             "INJECT1_0": "NO",
  22510.             "INJECT1_1": "NO"
  22511.           },
  22512.           "attributes": {
  22513.             "module_not_derived": 1,
  22514.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22515.           },
  22516.           "port_directions": {
  22517.             "A0": "input",
  22518.             "A1": "input",
  22519.             "B0": "input",
  22520.             "B1": "input",
  22521.             "C0": "input",
  22522.             "C1": "input",
  22523.             "CIN": "input",
  22524.             "COUT": "output",
  22525.             "D0": "input",
  22526.             "D1": "input",
  22527.             "S0": "output",
  22528.             "S1": "output"
  22529.           },
  22530.           "connections": {
  22531.             "A0": [ 238 ],
  22532.             "A1": [ 250 ],
  22533.             "B0": [ 383 ],
  22534.             "B1": [ 384 ],
  22535.             "C0": [ "1" ],
  22536.             "C1": [ "1" ],
  22537.             "CIN": [ 380 ],
  22538.             "COUT": [ 256 ],
  22539.             "D0": [ "1" ],
  22540.             "D1": [ "1" ],
  22541.             "S0": [ 385 ],
  22542.             "S1": [ 386 ]
  22543.           }
  22544.         },
  22545.         "$auto$alumacc.cc:474:replace_alu$302.slice[0].ccu2c_i": {
  22546.           "hide_name": 1,
  22547.           "type": "CCU2C",
  22548.           "parameters": {
  22549.             "INIT0": 38570,
  22550.             "INIT1": 38570,
  22551.             "INJECT1_0": "NO",
  22552.             "INJECT1_1": "NO"
  22553.           },
  22554.           "attributes": {
  22555.             "module_not_derived": 1,
  22556.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22557.           },
  22558.           "port_directions": {
  22559.             "A0": "input",
  22560.             "A1": "input",
  22561.             "B0": "input",
  22562.             "B1": "input",
  22563.             "C0": "input",
  22564.             "C1": "input",
  22565.             "CIN": "input",
  22566.             "COUT": "output",
  22567.             "D0": "input",
  22568.             "D1": "input",
  22569.             "S0": "output",
  22570.             "S1": "output"
  22571.           },
  22572.           "connections": {
  22573.             "A0": [ 232 ],
  22574.             "A1": [ 225 ],
  22575.             "B0": [ 387 ],
  22576.             "B1": [ 388 ],
  22577.             "C0": [ "1" ],
  22578.             "C1": [ "1" ],
  22579.             "CIN": [ "1" ],
  22580.             "COUT": [ 389 ],
  22581.             "D0": [ "1" ],
  22582.             "D1": [ "1" ],
  22583.             "S0": [ 390 ],
  22584.             "S1": [ 391 ]
  22585.           }
  22586.         },
  22587.         "$auto$alumacc.cc:474:replace_alu$302.slice[2].ccu2c_i": {
  22588.           "hide_name": 1,
  22589.           "type": "CCU2C",
  22590.           "parameters": {
  22591.             "INIT0": 38570,
  22592.             "INIT1": 38570,
  22593.             "INJECT1_0": "NO",
  22594.             "INJECT1_1": "NO"
  22595.           },
  22596.           "attributes": {
  22597.             "module_not_derived": 1,
  22598.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22599.           },
  22600.           "port_directions": {
  22601.             "A0": "input",
  22602.             "A1": "input",
  22603.             "B0": "input",
  22604.             "B1": "input",
  22605.             "C0": "input",
  22606.             "C1": "input",
  22607.             "CIN": "input",
  22608.             "COUT": "output",
  22609.             "D0": "input",
  22610.             "D1": "input",
  22611.             "S0": "output",
  22612.             "S1": "output"
  22613.           },
  22614.           "connections": {
  22615.             "A0": [ 222 ],
  22616.             "A1": [ 220 ],
  22617.             "B0": [ 392 ],
  22618.             "B1": [ 393 ],
  22619.             "C0": [ "1" ],
  22620.             "C1": [ "1" ],
  22621.             "CIN": [ 389 ],
  22622.             "COUT": [ 394 ],
  22623.             "D0": [ "1" ],
  22624.             "D1": [ "1" ],
  22625.             "S0": [ 395 ],
  22626.             "S1": [ 396 ]
  22627.           }
  22628.         },
  22629.         "$auto$alumacc.cc:474:replace_alu$302.slice[4].ccu2c_i": {
  22630.           "hide_name": 1,
  22631.           "type": "CCU2C",
  22632.           "parameters": {
  22633.             "INIT0": 38570,
  22634.             "INIT1": 38570,
  22635.             "INJECT1_0": "NO",
  22636.             "INJECT1_1": "NO"
  22637.           },
  22638.           "attributes": {
  22639.             "module_not_derived": 1,
  22640.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22641.           },
  22642.           "port_directions": {
  22643.             "A0": "input",
  22644.             "A1": "input",
  22645.             "B0": "input",
  22646.             "B1": "input",
  22647.             "C0": "input",
  22648.             "C1": "input",
  22649.             "CIN": "input",
  22650.             "COUT": "output",
  22651.             "D0": "input",
  22652.             "D1": "input",
  22653.             "S0": "output",
  22654.             "S1": "output"
  22655.           },
  22656.           "connections": {
  22657.             "A0": [ 246 ],
  22658.             "A1": [ 227 ],
  22659.             "B0": [ 397 ],
  22660.             "B1": [ 398 ],
  22661.             "C0": [ "1" ],
  22662.             "C1": [ "1" ],
  22663.             "CIN": [ 394 ],
  22664.             "COUT": [ 399 ],
  22665.             "D0": [ "1" ],
  22666.             "D1": [ "1" ],
  22667.             "S0": [ 400 ],
  22668.             "S1": [ 401 ]
  22669.           }
  22670.         },
  22671.         "$auto$alumacc.cc:474:replace_alu$302.slice[6].ccu2c_i": {
  22672.           "hide_name": 1,
  22673.           "type": "CCU2C",
  22674.           "parameters": {
  22675.             "INIT0": 38570,
  22676.             "INIT1": 38570,
  22677.             "INJECT1_0": "NO",
  22678.             "INJECT1_1": "NO"
  22679.           },
  22680.           "attributes": {
  22681.             "module_not_derived": 1,
  22682.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22683.           },
  22684.           "port_directions": {
  22685.             "A0": "input",
  22686.             "A1": "input",
  22687.             "B0": "input",
  22688.             "B1": "input",
  22689.             "C0": "input",
  22690.             "C1": "input",
  22691.             "CIN": "input",
  22692.             "COUT": "output",
  22693.             "D0": "input",
  22694.             "D1": "input",
  22695.             "S0": "output",
  22696.             "S1": "output"
  22697.           },
  22698.           "connections": {
  22699.             "A0": [ 248 ],
  22700.             "A1": [ 236 ],
  22701.             "B0": [ 402 ],
  22702.             "B1": [ 403 ],
  22703.             "C0": [ "1" ],
  22704.             "C1": [ "1" ],
  22705.             "CIN": [ 399 ],
  22706.             "COUT": [ 404 ],
  22707.             "D0": [ "1" ],
  22708.             "D1": [ "1" ],
  22709.             "S0": [ 405 ],
  22710.             "S1": [ 406 ]
  22711.           }
  22712.         },
  22713.         "$auto$alumacc.cc:474:replace_alu$302.slice[8].ccu2c_i": {
  22714.           "hide_name": 1,
  22715.           "type": "CCU2C",
  22716.           "parameters": {
  22717.             "INIT0": 38570,
  22718.             "INIT1": 38570,
  22719.             "INJECT1_0": "NO",
  22720.             "INJECT1_1": "NO"
  22721.           },
  22722.           "attributes": {
  22723.             "module_not_derived": 1,
  22724.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22725.           },
  22726.           "port_directions": {
  22727.             "A0": "input",
  22728.             "A1": "input",
  22729.             "B0": "input",
  22730.             "B1": "input",
  22731.             "C0": "input",
  22732.             "C1": "input",
  22733.             "CIN": "input",
  22734.             "COUT": "output",
  22735.             "D0": "input",
  22736.             "D1": "input",
  22737.             "S0": "output",
  22738.             "S1": "output"
  22739.           },
  22740.           "connections": {
  22741.             "A0": [ 238 ],
  22742.             "A1": [ 250 ],
  22743.             "B0": [ 407 ],
  22744.             "B1": [ 408 ],
  22745.             "C0": [ "1" ],
  22746.             "C1": [ "1" ],
  22747.             "CIN": [ 404 ],
  22748.             "COUT": [ 260 ],
  22749.             "D0": [ "1" ],
  22750.             "D1": [ "1" ],
  22751.             "S0": [ 409 ],
  22752.             "S1": [ 410 ]
  22753.           }
  22754.         },
  22755.         "$auto$alumacc.cc:474:replace_alu$307.slice[0].ccu2c_i": {
  22756.           "hide_name": 1,
  22757.           "type": "CCU2C",
  22758.           "parameters": {
  22759.             "INIT0": 38570,
  22760.             "INIT1": 38570,
  22761.             "INJECT1_0": "NO",
  22762.             "INJECT1_1": "NO"
  22763.           },
  22764.           "attributes": {
  22765.             "module_not_derived": 1,
  22766.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22767.           },
  22768.           "port_directions": {
  22769.             "A0": "input",
  22770.             "A1": "input",
  22771.             "B0": "input",
  22772.             "B1": "input",
  22773.             "C0": "input",
  22774.             "C1": "input",
  22775.             "CIN": "input",
  22776.             "COUT": "output",
  22777.             "D0": "input",
  22778.             "D1": "input",
  22779.             "S0": "output",
  22780.             "S1": "output"
  22781.           },
  22782.           "connections": {
  22783.             "A0": [ 232 ],
  22784.             "A1": [ 225 ],
  22785.             "B0": [ 411 ],
  22786.             "B1": [ 412 ],
  22787.             "C0": [ "1" ],
  22788.             "C1": [ "1" ],
  22789.             "CIN": [ "1" ],
  22790.             "COUT": [ 413 ],
  22791.             "D0": [ "1" ],
  22792.             "D1": [ "1" ],
  22793.             "S0": [ 414 ],
  22794.             "S1": [ 415 ]
  22795.           }
  22796.         },
  22797.         "$auto$alumacc.cc:474:replace_alu$307.slice[2].ccu2c_i": {
  22798.           "hide_name": 1,
  22799.           "type": "CCU2C",
  22800.           "parameters": {
  22801.             "INIT0": 38570,
  22802.             "INIT1": 38570,
  22803.             "INJECT1_0": "NO",
  22804.             "INJECT1_1": "NO"
  22805.           },
  22806.           "attributes": {
  22807.             "module_not_derived": 1,
  22808.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22809.           },
  22810.           "port_directions": {
  22811.             "A0": "input",
  22812.             "A1": "input",
  22813.             "B0": "input",
  22814.             "B1": "input",
  22815.             "C0": "input",
  22816.             "C1": "input",
  22817.             "CIN": "input",
  22818.             "COUT": "output",
  22819.             "D0": "input",
  22820.             "D1": "input",
  22821.             "S0": "output",
  22822.             "S1": "output"
  22823.           },
  22824.           "connections": {
  22825.             "A0": [ 222 ],
  22826.             "A1": [ 220 ],
  22827.             "B0": [ 416 ],
  22828.             "B1": [ 417 ],
  22829.             "C0": [ "1" ],
  22830.             "C1": [ "1" ],
  22831.             "CIN": [ 413 ],
  22832.             "COUT": [ 418 ],
  22833.             "D0": [ "1" ],
  22834.             "D1": [ "1" ],
  22835.             "S0": [ 419 ],
  22836.             "S1": [ 420 ]
  22837.           }
  22838.         },
  22839.         "$auto$alumacc.cc:474:replace_alu$307.slice[4].ccu2c_i": {
  22840.           "hide_name": 1,
  22841.           "type": "CCU2C",
  22842.           "parameters": {
  22843.             "INIT0": 38570,
  22844.             "INIT1": 38570,
  22845.             "INJECT1_0": "NO",
  22846.             "INJECT1_1": "NO"
  22847.           },
  22848.           "attributes": {
  22849.             "module_not_derived": 1,
  22850.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22851.           },
  22852.           "port_directions": {
  22853.             "A0": "input",
  22854.             "A1": "input",
  22855.             "B0": "input",
  22856.             "B1": "input",
  22857.             "C0": "input",
  22858.             "C1": "input",
  22859.             "CIN": "input",
  22860.             "COUT": "output",
  22861.             "D0": "input",
  22862.             "D1": "input",
  22863.             "S0": "output",
  22864.             "S1": "output"
  22865.           },
  22866.           "connections": {
  22867.             "A0": [ 246 ],
  22868.             "A1": [ 227 ],
  22869.             "B0": [ 421 ],
  22870.             "B1": [ 422 ],
  22871.             "C0": [ "1" ],
  22872.             "C1": [ "1" ],
  22873.             "CIN": [ 418 ],
  22874.             "COUT": [ 423 ],
  22875.             "D0": [ "1" ],
  22876.             "D1": [ "1" ],
  22877.             "S0": [ 424 ],
  22878.             "S1": [ 425 ]
  22879.           }
  22880.         },
  22881.         "$auto$alumacc.cc:474:replace_alu$307.slice[6].ccu2c_i": {
  22882.           "hide_name": 1,
  22883.           "type": "CCU2C",
  22884.           "parameters": {
  22885.             "INIT0": 38570,
  22886.             "INIT1": 38570,
  22887.             "INJECT1_0": "NO",
  22888.             "INJECT1_1": "NO"
  22889.           },
  22890.           "attributes": {
  22891.             "module_not_derived": 1,
  22892.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22893.           },
  22894.           "port_directions": {
  22895.             "A0": "input",
  22896.             "A1": "input",
  22897.             "B0": "input",
  22898.             "B1": "input",
  22899.             "C0": "input",
  22900.             "C1": "input",
  22901.             "CIN": "input",
  22902.             "COUT": "output",
  22903.             "D0": "input",
  22904.             "D1": "input",
  22905.             "S0": "output",
  22906.             "S1": "output"
  22907.           },
  22908.           "connections": {
  22909.             "A0": [ 248 ],
  22910.             "A1": [ 236 ],
  22911.             "B0": [ 426 ],
  22912.             "B1": [ 427 ],
  22913.             "C0": [ "1" ],
  22914.             "C1": [ "1" ],
  22915.             "CIN": [ 423 ],
  22916.             "COUT": [ 428 ],
  22917.             "D0": [ "1" ],
  22918.             "D1": [ "1" ],
  22919.             "S0": [ 429 ],
  22920.             "S1": [ 430 ]
  22921.           }
  22922.         },
  22923.         "$auto$alumacc.cc:474:replace_alu$307.slice[8].ccu2c_i": {
  22924.           "hide_name": 1,
  22925.           "type": "CCU2C",
  22926.           "parameters": {
  22927.             "INIT0": 38570,
  22928.             "INIT1": 38570,
  22929.             "INJECT1_0": "NO",
  22930.             "INJECT1_1": "NO"
  22931.           },
  22932.           "attributes": {
  22933.             "module_not_derived": 1,
  22934.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22935.           },
  22936.           "port_directions": {
  22937.             "A0": "input",
  22938.             "A1": "input",
  22939.             "B0": "input",
  22940.             "B1": "input",
  22941.             "C0": "input",
  22942.             "C1": "input",
  22943.             "CIN": "input",
  22944.             "COUT": "output",
  22945.             "D0": "input",
  22946.             "D1": "input",
  22947.             "S0": "output",
  22948.             "S1": "output"
  22949.           },
  22950.           "connections": {
  22951.             "A0": [ 238 ],
  22952.             "A1": [ 250 ],
  22953.             "B0": [ 431 ],
  22954.             "B1": [ 432 ],
  22955.             "C0": [ "1" ],
  22956.             "C1": [ "1" ],
  22957.             "CIN": [ 428 ],
  22958.             "COUT": [ 254 ],
  22959.             "D0": [ "1" ],
  22960.             "D1": [ "1" ],
  22961.             "S0": [ 433 ],
  22962.             "S1": [ 434 ]
  22963.           }
  22964.         },
  22965.         "$auto$alumacc.cc:474:replace_alu$312.slice[0].ccu2c_i": {
  22966.           "hide_name": 1,
  22967.           "type": "CCU2C",
  22968.           "parameters": {
  22969.             "INIT0": 38570,
  22970.             "INIT1": 38570,
  22971.             "INJECT1_0": "NO",
  22972.             "INJECT1_1": "NO"
  22973.           },
  22974.           "attributes": {
  22975.             "module_not_derived": 1,
  22976.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  22977.           },
  22978.           "port_directions": {
  22979.             "A0": "input",
  22980.             "A1": "input",
  22981.             "B0": "input",
  22982.             "B1": "input",
  22983.             "C0": "input",
  22984.             "C1": "input",
  22985.             "CIN": "input",
  22986.             "COUT": "output",
  22987.             "D0": "input",
  22988.             "D1": "input",
  22989.             "S0": "output",
  22990.             "S1": "output"
  22991.           },
  22992.           "connections": {
  22993.             "A0": [ 235 ],
  22994.             "A1": [ 224 ],
  22995.             "B0": [ 232 ],
  22996.             "B1": [ 225 ],
  22997.             "C0": [ "1" ],
  22998.             "C1": [ "1" ],
  22999.             "CIN": [ "1" ],
  23000.             "COUT": [ 435 ],
  23001.             "D0": [ "1" ],
  23002.             "D1": [ "1" ],
  23003.             "S0": [ 436 ],
  23004.             "S1": [ 437 ]
  23005.           }
  23006.         },
  23007.         "$auto$alumacc.cc:474:replace_alu$312.slice[2].ccu2c_i": {
  23008.           "hide_name": 1,
  23009.           "type": "CCU2C",
  23010.           "parameters": {
  23011.             "INIT0": 38570,
  23012.             "INIT1": 38570,
  23013.             "INJECT1_0": "NO",
  23014.             "INJECT1_1": "NO"
  23015.           },
  23016.           "attributes": {
  23017.             "module_not_derived": 1,
  23018.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23019.           },
  23020.           "port_directions": {
  23021.             "A0": "input",
  23022.             "A1": "input",
  23023.             "B0": "input",
  23024.             "B1": "input",
  23025.             "C0": "input",
  23026.             "C1": "input",
  23027.             "CIN": "input",
  23028.             "COUT": "output",
  23029.             "D0": "input",
  23030.             "D1": "input",
  23031.             "S0": "output",
  23032.             "S1": "output"
  23033.           },
  23034.           "connections": {
  23035.             "A0": [ 223 ],
  23036.             "A1": [ 221 ],
  23037.             "B0": [ 222 ],
  23038.             "B1": [ 220 ],
  23039.             "C0": [ "1" ],
  23040.             "C1": [ "1" ],
  23041.             "CIN": [ 435 ],
  23042.             "COUT": [ 438 ],
  23043.             "D0": [ "1" ],
  23044.             "D1": [ "1" ],
  23045.             "S0": [ 439 ],
  23046.             "S1": [ 440 ]
  23047.           }
  23048.         },
  23049.         "$auto$alumacc.cc:474:replace_alu$312.slice[4].ccu2c_i": {
  23050.           "hide_name": 1,
  23051.           "type": "CCU2C",
  23052.           "parameters": {
  23053.             "INIT0": 38570,
  23054.             "INIT1": 38570,
  23055.             "INJECT1_0": "NO",
  23056.             "INJECT1_1": "NO"
  23057.           },
  23058.           "attributes": {
  23059.             "module_not_derived": 1,
  23060.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23061.           },
  23062.           "port_directions": {
  23063.             "A0": "input",
  23064.             "A1": "input",
  23065.             "B0": "input",
  23066.             "B1": "input",
  23067.             "C0": "input",
  23068.             "C1": "input",
  23069.             "CIN": "input",
  23070.             "COUT": "output",
  23071.             "D0": "input",
  23072.             "D1": "input",
  23073.             "S0": "output",
  23074.             "S1": "output"
  23075.           },
  23076.           "connections": {
  23077.             "A0": [ 247 ],
  23078.             "A1": [ 226 ],
  23079.             "B0": [ 246 ],
  23080.             "B1": [ 227 ],
  23081.             "C0": [ "1" ],
  23082.             "C1": [ "1" ],
  23083.             "CIN": [ 438 ],
  23084.             "COUT": [ 441 ],
  23085.             "D0": [ "1" ],
  23086.             "D1": [ "1" ],
  23087.             "S0": [ 442 ],
  23088.             "S1": [ 443 ]
  23089.           }
  23090.         },
  23091.         "$auto$alumacc.cc:474:replace_alu$312.slice[6].ccu2c_i": {
  23092.           "hide_name": 1,
  23093.           "type": "CCU2C",
  23094.           "parameters": {
  23095.             "INIT0": 38570,
  23096.             "INIT1": 38570,
  23097.             "INJECT1_0": "NO",
  23098.             "INJECT1_1": "NO"
  23099.           },
  23100.           "attributes": {
  23101.             "module_not_derived": 1,
  23102.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23103.           },
  23104.           "port_directions": {
  23105.             "A0": "input",
  23106.             "A1": "input",
  23107.             "B0": "input",
  23108.             "B1": "input",
  23109.             "C0": "input",
  23110.             "C1": "input",
  23111.             "CIN": "input",
  23112.             "COUT": "output",
  23113.             "D0": "input",
  23114.             "D1": "input",
  23115.             "S0": "output",
  23116.             "S1": "output"
  23117.           },
  23118.           "connections": {
  23119.             "A0": [ 249 ],
  23120.             "A1": [ 237 ],
  23121.             "B0": [ 248 ],
  23122.             "B1": [ 236 ],
  23123.             "C0": [ "1" ],
  23124.             "C1": [ "1" ],
  23125.             "CIN": [ 441 ],
  23126.             "COUT": [ 444 ],
  23127.             "D0": [ "1" ],
  23128.             "D1": [ "1" ],
  23129.             "S0": [ 445 ],
  23130.             "S1": [ 446 ]
  23131.           }
  23132.         },
  23133.         "$auto$alumacc.cc:474:replace_alu$312.slice[8].ccu2c_i": {
  23134.           "hide_name": 1,
  23135.           "type": "CCU2C",
  23136.           "parameters": {
  23137.             "INIT0": 38570,
  23138.             "INIT1": 38570,
  23139.             "INJECT1_0": "NO",
  23140.             "INJECT1_1": "NO"
  23141.           },
  23142.           "attributes": {
  23143.             "module_not_derived": 1,
  23144.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23145.           },
  23146.           "port_directions": {
  23147.             "A0": "input",
  23148.             "A1": "input",
  23149.             "B0": "input",
  23150.             "B1": "input",
  23151.             "C0": "input",
  23152.             "C1": "input",
  23153.             "CIN": "input",
  23154.             "COUT": "output",
  23155.             "D0": "input",
  23156.             "D1": "input",
  23157.             "S0": "output",
  23158.             "S1": "output"
  23159.           },
  23160.           "connections": {
  23161.             "A0": [ 239 ],
  23162.             "A1": [ 251 ],
  23163.             "B0": [ 238 ],
  23164.             "B1": [ 250 ],
  23165.             "C0": [ "1" ],
  23166.             "C1": [ "1" ],
  23167.             "CIN": [ 444 ],
  23168.             "COUT": [ 218 ],
  23169.             "D0": [ "1" ],
  23170.             "D1": [ "1" ],
  23171.             "S0": [ 447 ],
  23172.             "S1": [ 448 ]
  23173.           }
  23174.         },
  23175.         "$auto$alumacc.cc:474:replace_alu$323.slice[0].ccu2c_i": {
  23176.           "hide_name": 1,
  23177.           "type": "CCU2C",
  23178.           "parameters": {
  23179.             "INIT0": 38570,
  23180.             "INIT1": 38570,
  23181.             "INJECT1_0": "NO",
  23182.             "INJECT1_1": "NO"
  23183.           },
  23184.           "attributes": {
  23185.             "module_not_derived": 1,
  23186.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23187.           },
  23188.           "port_directions": {
  23189.             "A0": "input",
  23190.             "A1": "input",
  23191.             "B0": "input",
  23192.             "B1": "input",
  23193.             "C0": "input",
  23194.             "C1": "input",
  23195.             "CIN": "input",
  23196.             "COUT": "output",
  23197.             "D0": "input",
  23198.             "D1": "input",
  23199.             "S0": "output",
  23200.             "S1": "output"
  23201.           },
  23202.           "connections": {
  23203.             "A0": [ "1" ],
  23204.             "A1": [ "0" ],
  23205.             "B0": [ 232 ],
  23206.             "B1": [ 225 ],
  23207.             "C0": [ "0" ],
  23208.             "C1": [ "0" ],
  23209.             "CIN": [ "0" ],
  23210.             "COUT": [ 449 ],
  23211.             "D0": [ "1" ],
  23212.             "D1": [ "1" ],
  23213.             "S0": [ 450 ],
  23214.             "S1": [ 451 ]
  23215.           }
  23216.         },
  23217.         "$auto$alumacc.cc:474:replace_alu$323.slice[2].ccu2c_i": {
  23218.           "hide_name": 1,
  23219.           "type": "CCU2C",
  23220.           "parameters": {
  23221.             "INIT0": 38570,
  23222.             "INIT1": 38570,
  23223.             "INJECT1_0": "NO",
  23224.             "INJECT1_1": "NO"
  23225.           },
  23226.           "attributes": {
  23227.             "module_not_derived": 1,
  23228.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23229.           },
  23230.           "port_directions": {
  23231.             "A0": "input",
  23232.             "A1": "input",
  23233.             "B0": "input",
  23234.             "B1": "input",
  23235.             "C0": "input",
  23236.             "C1": "input",
  23237.             "CIN": "input",
  23238.             "COUT": "output",
  23239.             "D0": "input",
  23240.             "D1": "input",
  23241.             "S0": "output",
  23242.             "S1": "output"
  23243.           },
  23244.           "connections": {
  23245.             "A0": [ "0" ],
  23246.             "A1": [ "0" ],
  23247.             "B0": [ 222 ],
  23248.             "B1": [ 220 ],
  23249.             "C0": [ "0" ],
  23250.             "C1": [ "0" ],
  23251.             "CIN": [ 449 ],
  23252.             "COUT": [ 452 ],
  23253.             "D0": [ "1" ],
  23254.             "D1": [ "1" ],
  23255.             "S0": [ 453 ],
  23256.             "S1": [ 454 ]
  23257.           }
  23258.         },
  23259.         "$auto$alumacc.cc:474:replace_alu$323.slice[4].ccu2c_i": {
  23260.           "hide_name": 1,
  23261.           "type": "CCU2C",
  23262.           "parameters": {
  23263.             "INIT0": 38570,
  23264.             "INIT1": 38570,
  23265.             "INJECT1_0": "NO",
  23266.             "INJECT1_1": "NO"
  23267.           },
  23268.           "attributes": {
  23269.             "module_not_derived": 1,
  23270.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23271.           },
  23272.           "port_directions": {
  23273.             "A0": "input",
  23274.             "A1": "input",
  23275.             "B0": "input",
  23276.             "B1": "input",
  23277.             "C0": "input",
  23278.             "C1": "input",
  23279.             "CIN": "input",
  23280.             "COUT": "output",
  23281.             "D0": "input",
  23282.             "D1": "input",
  23283.             "S0": "output",
  23284.             "S1": "output"
  23285.           },
  23286.           "connections": {
  23287.             "A0": [ "0" ],
  23288.             "A1": [ "0" ],
  23289.             "B0": [ 246 ],
  23290.             "B1": [ 227 ],
  23291.             "C0": [ "0" ],
  23292.             "C1": [ "0" ],
  23293.             "CIN": [ 452 ],
  23294.             "COUT": [ 455 ],
  23295.             "D0": [ "1" ],
  23296.             "D1": [ "1" ],
  23297.             "S0": [ 456 ],
  23298.             "S1": [ 457 ]
  23299.           }
  23300.         },
  23301.         "$auto$alumacc.cc:474:replace_alu$323.slice[6].ccu2c_i": {
  23302.           "hide_name": 1,
  23303.           "type": "CCU2C",
  23304.           "parameters": {
  23305.             "INIT0": 38570,
  23306.             "INIT1": 38570,
  23307.             "INJECT1_0": "NO",
  23308.             "INJECT1_1": "NO"
  23309.           },
  23310.           "attributes": {
  23311.             "module_not_derived": 1,
  23312.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23313.           },
  23314.           "port_directions": {
  23315.             "A0": "input",
  23316.             "A1": "input",
  23317.             "B0": "input",
  23318.             "B1": "input",
  23319.             "C0": "input",
  23320.             "C1": "input",
  23321.             "CIN": "input",
  23322.             "COUT": "output",
  23323.             "D0": "input",
  23324.             "D1": "input",
  23325.             "S0": "output",
  23326.             "S1": "output"
  23327.           },
  23328.           "connections": {
  23329.             "A0": [ "0" ],
  23330.             "A1": [ "0" ],
  23331.             "B0": [ 248 ],
  23332.             "B1": [ 236 ],
  23333.             "C0": [ "0" ],
  23334.             "C1": [ "0" ],
  23335.             "CIN": [ 455 ],
  23336.             "COUT": [ 458 ],
  23337.             "D0": [ "1" ],
  23338.             "D1": [ "1" ],
  23339.             "S0": [ 459 ],
  23340.             "S1": [ 460 ]
  23341.           }
  23342.         },
  23343.         "$auto$alumacc.cc:474:replace_alu$323.slice[8].ccu2c_i": {
  23344.           "hide_name": 1,
  23345.           "type": "CCU2C",
  23346.           "parameters": {
  23347.             "INIT0": 38570,
  23348.             "INIT1": 38570,
  23349.             "INJECT1_0": "NO",
  23350.             "INJECT1_1": "NO"
  23351.           },
  23352.           "attributes": {
  23353.             "module_not_derived": 1,
  23354.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23355.           },
  23356.           "port_directions": {
  23357.             "A0": "input",
  23358.             "A1": "input",
  23359.             "B0": "input",
  23360.             "B1": "input",
  23361.             "C0": "input",
  23362.             "C1": "input",
  23363.             "CIN": "input",
  23364.             "COUT": "output",
  23365.             "D0": "input",
  23366.             "D1": "input",
  23367.             "S0": "output",
  23368.             "S1": "output"
  23369.           },
  23370.           "connections": {
  23371.             "A0": [ "0" ],
  23372.             "A1": [ "0" ],
  23373.             "B0": [ 238 ],
  23374.             "B1": [ 250 ],
  23375.             "C0": [ "0" ],
  23376.             "C1": [ "0" ],
  23377.             "CIN": [ 458 ],
  23378.             "COUT": [ 461 ],
  23379.             "D0": [ "1" ],
  23380.             "D1": [ "1" ],
  23381.             "S0": [ 462 ],
  23382.             "S1": [ 463 ]
  23383.           }
  23384.         },
  23385.         "$auto$alumacc.cc:474:replace_alu$326.slice[0].ccu2c_i": {
  23386.           "hide_name": 1,
  23387.           "type": "CCU2C",
  23388.           "parameters": {
  23389.             "INIT0": 38570,
  23390.             "INIT1": 38570,
  23391.             "INJECT1_0": "NO",
  23392.             "INJECT1_1": "NO"
  23393.           },
  23394.           "attributes": {
  23395.             "module_not_derived": 1,
  23396.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23397.           },
  23398.           "port_directions": {
  23399.             "A0": "input",
  23400.             "A1": "input",
  23401.             "B0": "input",
  23402.             "B1": "input",
  23403.             "C0": "input",
  23404.             "C1": "input",
  23405.             "CIN": "input",
  23406.             "COUT": "output",
  23407.             "D0": "input",
  23408.             "D1": "input",
  23409.             "S0": "output",
  23410.             "S1": "output"
  23411.           },
  23412.           "connections": {
  23413.             "A0": [ 3 ],
  23414.             "A1": [ "0" ],
  23415.             "B0": [ 464 ],
  23416.             "B1": [ 465 ],
  23417.             "C0": [ "0" ],
  23418.             "C1": [ "0" ],
  23419.             "CIN": [ "1" ],
  23420.             "COUT": [ 466 ],
  23421.             "D0": [ "1" ],
  23422.             "D1": [ "1" ],
  23423.             "S0": [ 27 ],
  23424.             "S1": [ 30 ]
  23425.           }
  23426.         },
  23427.         "$auto$alumacc.cc:474:replace_alu$326.slice[10].ccu2c_i": {
  23428.           "hide_name": 1,
  23429.           "type": "CCU2C",
  23430.           "parameters": {
  23431.             "INIT0": 38570,
  23432.             "INIT1": 38570,
  23433.             "INJECT1_0": "NO",
  23434.             "INJECT1_1": "NO"
  23435.           },
  23436.           "attributes": {
  23437.             "module_not_derived": 1,
  23438.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23439.           },
  23440.           "port_directions": {
  23441.             "A0": "input",
  23442.             "A1": "input",
  23443.             "B0": "input",
  23444.             "B1": "input",
  23445.             "C0": "input",
  23446.             "C1": "input",
  23447.             "CIN": "input",
  23448.             "COUT": "output",
  23449.             "D0": "input",
  23450.             "D1": "input",
  23451.             "S0": "output",
  23452.             "S1": "output"
  23453.           },
  23454.           "connections": {
  23455.             "A0": [ "0" ],
  23456.             "A1": [ "0" ],
  23457.             "B0": [ 467 ],
  23458.             "B1": [ 98 ],
  23459.             "C0": [ "0" ],
  23460.             "C1": [ "0" ],
  23461.             "CIN": [ 468 ],
  23462.             "COUT": [ 469 ],
  23463.             "D0": [ "1" ],
  23464.             "D1": [ "1" ],
  23465.             "S0": [ 57 ],
  23466.             "S1": [ 60 ]
  23467.           }
  23468.         },
  23469.         "$auto$alumacc.cc:474:replace_alu$326.slice[12].ccu2c_i": {
  23470.           "hide_name": 1,
  23471.           "type": "CCU2C",
  23472.           "parameters": {
  23473.             "INIT0": 38570,
  23474.             "INIT1": 38570,
  23475.             "INJECT1_0": "NO",
  23476.             "INJECT1_1": "NO"
  23477.           },
  23478.           "attributes": {
  23479.             "module_not_derived": 1,
  23480.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23481.           },
  23482.           "port_directions": {
  23483.             "A0": "input",
  23484.             "A1": "input",
  23485.             "B0": "input",
  23486.             "B1": "input",
  23487.             "C0": "input",
  23488.             "C1": "input",
  23489.             "CIN": "input",
  23490.             "COUT": "output",
  23491.             "D0": "input",
  23492.             "D1": "input",
  23493.             "S0": "output",
  23494.             "S1": "output"
  23495.           },
  23496.           "connections": {
  23497.             "A0": [ "0" ],
  23498.             "A1": [ "0" ],
  23499.             "B0": [ 100 ],
  23500.             "B1": [ 102 ],
  23501.             "C0": [ "0" ],
  23502.             "C1": [ "0" ],
  23503.             "CIN": [ 469 ],
  23504.             "COUT": [ 470 ],
  23505.             "D0": [ "1" ],
  23506.             "D1": [ "1" ],
  23507.             "S0": [ 63 ],
  23508.             "S1": [ 66 ]
  23509.           }
  23510.         },
  23511.         "$auto$alumacc.cc:474:replace_alu$326.slice[14].ccu2c_i": {
  23512.           "hide_name": 1,
  23513.           "type": "CCU2C",
  23514.           "parameters": {
  23515.             "INIT0": 38570,
  23516.             "INIT1": 38570,
  23517.             "INJECT1_0": "NO",
  23518.             "INJECT1_1": "NO"
  23519.           },
  23520.           "attributes": {
  23521.             "module_not_derived": 1,
  23522.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23523.           },
  23524.           "port_directions": {
  23525.             "A0": "input",
  23526.             "A1": "input",
  23527.             "B0": "input",
  23528.             "B1": "input",
  23529.             "C0": "input",
  23530.             "C1": "input",
  23531.             "CIN": "input",
  23532.             "COUT": "output",
  23533.             "D0": "input",
  23534.             "D1": "input",
  23535.             "S0": "output",
  23536.             "S1": "output"
  23537.           },
  23538.           "connections": {
  23539.             "A0": [ "0" ],
  23540.             "A1": [ "0" ],
  23541.             "B0": [ 104 ],
  23542.             "B1": [ 106 ],
  23543.             "C0": [ "0" ],
  23544.             "C1": [ "0" ],
  23545.             "CIN": [ 470 ],
  23546.             "COUT": [ 471 ],
  23547.             "D0": [ "1" ],
  23548.             "D1": [ "1" ],
  23549.             "S0": [ 69 ],
  23550.             "S1": [ 72 ]
  23551.           }
  23552.         },
  23553.         "$auto$alumacc.cc:474:replace_alu$326.slice[16].ccu2c_i": {
  23554.           "hide_name": 1,
  23555.           "type": "CCU2C",
  23556.           "parameters": {
  23557.             "INIT0": 38570,
  23558.             "INIT1": 38570,
  23559.             "INJECT1_0": "NO",
  23560.             "INJECT1_1": "NO"
  23561.           },
  23562.           "attributes": {
  23563.             "module_not_derived": 1,
  23564.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23565.           },
  23566.           "port_directions": {
  23567.             "A0": "input",
  23568.             "A1": "input",
  23569.             "B0": "input",
  23570.             "B1": "input",
  23571.             "C0": "input",
  23572.             "C1": "input",
  23573.             "CIN": "input",
  23574.             "COUT": "output",
  23575.             "D0": "input",
  23576.             "D1": "input",
  23577.             "S0": "output",
  23578.             "S1": "output"
  23579.           },
  23580.           "connections": {
  23581.             "A0": [ "0" ],
  23582.             "A1": [ "0" ],
  23583.             "B0": [ 108 ],
  23584.             "B1": [ 110 ],
  23585.             "C0": [ "0" ],
  23586.             "C1": [ "0" ],
  23587.             "CIN": [ 471 ],
  23588.             "COUT": [ 472 ],
  23589.             "D0": [ "1" ],
  23590.             "D1": [ "1" ],
  23591.             "S0": [ 75 ],
  23592.             "S1": [ 78 ]
  23593.           }
  23594.         },
  23595.         "$auto$alumacc.cc:474:replace_alu$326.slice[18].ccu2c_i": {
  23596.           "hide_name": 1,
  23597.           "type": "CCU2C",
  23598.           "parameters": {
  23599.             "INIT0": 38570,
  23600.             "INIT1": 38570,
  23601.             "INJECT1_0": "NO",
  23602.             "INJECT1_1": "NO"
  23603.           },
  23604.           "attributes": {
  23605.             "module_not_derived": 1,
  23606.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23607.           },
  23608.           "port_directions": {
  23609.             "A0": "input",
  23610.             "A1": "input",
  23611.             "B0": "input",
  23612.             "B1": "input",
  23613.             "C0": "input",
  23614.             "C1": "input",
  23615.             "CIN": "input",
  23616.             "COUT": "output",
  23617.             "D0": "input",
  23618.             "D1": "input",
  23619.             "S0": "output",
  23620.             "S1": "output"
  23621.           },
  23622.           "connections": {
  23623.             "A0": [ "0" ],
  23624.             "A1": [ "0" ],
  23625.             "B0": [ 112 ],
  23626.             "B1": [ 114 ],
  23627.             "C0": [ "0" ],
  23628.             "C1": [ "0" ],
  23629.             "CIN": [ 472 ],
  23630.             "COUT": [ 473 ],
  23631.             "D0": [ "1" ],
  23632.             "D1": [ "1" ],
  23633.             "S0": [ 81 ],
  23634.             "S1": [ 84 ]
  23635.           }
  23636.         },
  23637.         "$auto$alumacc.cc:474:replace_alu$326.slice[20].ccu2c_i": {
  23638.           "hide_name": 1,
  23639.           "type": "CCU2C",
  23640.           "parameters": {
  23641.             "INIT0": 38570,
  23642.             "INIT1": 38570,
  23643.             "INJECT1_0": "NO",
  23644.             "INJECT1_1": "NO"
  23645.           },
  23646.           "attributes": {
  23647.             "module_not_derived": 1,
  23648.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23649.           },
  23650.           "port_directions": {
  23651.             "A0": "input",
  23652.             "A1": "input",
  23653.             "B0": "input",
  23654.             "B1": "input",
  23655.             "C0": "input",
  23656.             "C1": "input",
  23657.             "CIN": "input",
  23658.             "COUT": "output",
  23659.             "D0": "input",
  23660.             "D1": "input",
  23661.             "S0": "output",
  23662.             "S1": "output"
  23663.           },
  23664.           "connections": {
  23665.             "A0": [ "0" ],
  23666.             "A1": [ "0" ],
  23667.             "B0": [ 116 ],
  23668.             "B1": [ 14 ],
  23669.             "C0": [ "0" ],
  23670.             "C1": [ "0" ],
  23671.             "CIN": [ 473 ],
  23672.             "COUT": [ 474 ],
  23673.             "D0": [ "1" ],
  23674.             "D1": [ "1" ],
  23675.             "S0": [ 87 ],
  23676.             "S1": [ 90 ]
  23677.           }
  23678.         },
  23679.         "$auto$alumacc.cc:474:replace_alu$326.slice[22].ccu2c_i": {
  23680.           "hide_name": 1,
  23681.           "type": "CCU2C",
  23682.           "parameters": {
  23683.             "INIT0": 38570,
  23684.             "INIT1": 38570,
  23685.             "INJECT1_0": "NO",
  23686.             "INJECT1_1": "NO"
  23687.           },
  23688.           "attributes": {
  23689.             "module_not_derived": 1,
  23690.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23691.           },
  23692.           "port_directions": {
  23693.             "A0": "input",
  23694.             "A1": "input",
  23695.             "B0": "input",
  23696.             "B1": "input",
  23697.             "C0": "input",
  23698.             "C1": "input",
  23699.             "CIN": "input",
  23700.             "COUT": "output",
  23701.             "D0": "input",
  23702.             "D1": "input",
  23703.             "S0": "output",
  23704.             "S1": "output"
  23705.           },
  23706.           "connections": {
  23707.             "A0": [ "0" ],
  23708.             "A1": [ "0" ],
  23709.             "B0": [ 12 ],
  23710.             "B1": [ 13 ],
  23711.             "C0": [ "0" ],
  23712.             "C1": [ "0" ],
  23713.             "CIN": [ 474 ],
  23714.             "COUT": [ 475 ],
  23715.             "D0": [ "1" ],
  23716.             "D1": [ "1" ],
  23717.             "S0": [ 93 ],
  23718.             "S1": [ 96 ]
  23719.           }
  23720.         },
  23721.         "$auto$alumacc.cc:474:replace_alu$326.slice[2].ccu2c_i": {
  23722.           "hide_name": 1,
  23723.           "type": "CCU2C",
  23724.           "parameters": {
  23725.             "INIT0": 38570,
  23726.             "INIT1": 38570,
  23727.             "INJECT1_0": "NO",
  23728.             "INJECT1_1": "NO"
  23729.           },
  23730.           "attributes": {
  23731.             "module_not_derived": 1,
  23732.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23733.           },
  23734.           "port_directions": {
  23735.             "A0": "input",
  23736.             "A1": "input",
  23737.             "B0": "input",
  23738.             "B1": "input",
  23739.             "C0": "input",
  23740.             "C1": "input",
  23741.             "CIN": "input",
  23742.             "COUT": "output",
  23743.             "D0": "input",
  23744.             "D1": "input",
  23745.             "S0": "output",
  23746.             "S1": "output"
  23747.           },
  23748.           "connections": {
  23749.             "A0": [ "0" ],
  23750.             "A1": [ "0" ],
  23751.             "B0": [ 476 ],
  23752.             "B1": [ 477 ],
  23753.             "C0": [ "0" ],
  23754.             "C1": [ "0" ],
  23755.             "CIN": [ 466 ],
  23756.             "COUT": [ 478 ],
  23757.             "D0": [ "1" ],
  23758.             "D1": [ "1" ],
  23759.             "S0": [ 33 ],
  23760.             "S1": [ 36 ]
  23761.           }
  23762.         },
  23763.         "$auto$alumacc.cc:474:replace_alu$326.slice[4].ccu2c_i": {
  23764.           "hide_name": 1,
  23765.           "type": "CCU2C",
  23766.           "parameters": {
  23767.             "INIT0": 38570,
  23768.             "INIT1": 38570,
  23769.             "INJECT1_0": "NO",
  23770.             "INJECT1_1": "NO"
  23771.           },
  23772.           "attributes": {
  23773.             "module_not_derived": 1,
  23774.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23775.           },
  23776.           "port_directions": {
  23777.             "A0": "input",
  23778.             "A1": "input",
  23779.             "B0": "input",
  23780.             "B1": "input",
  23781.             "C0": "input",
  23782.             "C1": "input",
  23783.             "CIN": "input",
  23784.             "COUT": "output",
  23785.             "D0": "input",
  23786.             "D1": "input",
  23787.             "S0": "output",
  23788.             "S1": "output"
  23789.           },
  23790.           "connections": {
  23791.             "A0": [ "0" ],
  23792.             "A1": [ "0" ],
  23793.             "B0": [ 479 ],
  23794.             "B1": [ 480 ],
  23795.             "C0": [ "0" ],
  23796.             "C1": [ "0" ],
  23797.             "CIN": [ 478 ],
  23798.             "COUT": [ 481 ],
  23799.             "D0": [ "1" ],
  23800.             "D1": [ "1" ],
  23801.             "S0": [ 39 ],
  23802.             "S1": [ 42 ]
  23803.           }
  23804.         },
  23805.         "$auto$alumacc.cc:474:replace_alu$326.slice[6].ccu2c_i": {
  23806.           "hide_name": 1,
  23807.           "type": "CCU2C",
  23808.           "parameters": {
  23809.             "INIT0": 38570,
  23810.             "INIT1": 38570,
  23811.             "INJECT1_0": "NO",
  23812.             "INJECT1_1": "NO"
  23813.           },
  23814.           "attributes": {
  23815.             "module_not_derived": 1,
  23816.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23817.           },
  23818.           "port_directions": {
  23819.             "A0": "input",
  23820.             "A1": "input",
  23821.             "B0": "input",
  23822.             "B1": "input",
  23823.             "C0": "input",
  23824.             "C1": "input",
  23825.             "CIN": "input",
  23826.             "COUT": "output",
  23827.             "D0": "input",
  23828.             "D1": "input",
  23829.             "S0": "output",
  23830.             "S1": "output"
  23831.           },
  23832.           "connections": {
  23833.             "A0": [ "0" ],
  23834.             "A1": [ "0" ],
  23835.             "B0": [ 482 ],
  23836.             "B1": [ 483 ],
  23837.             "C0": [ "0" ],
  23838.             "C1": [ "0" ],
  23839.             "CIN": [ 481 ],
  23840.             "COUT": [ 484 ],
  23841.             "D0": [ "1" ],
  23842.             "D1": [ "1" ],
  23843.             "S0": [ 45 ],
  23844.             "S1": [ 48 ]
  23845.           }
  23846.         },
  23847.         "$auto$alumacc.cc:474:replace_alu$326.slice[8].ccu2c_i": {
  23848.           "hide_name": 1,
  23849.           "type": "CCU2C",
  23850.           "parameters": {
  23851.             "INIT0": 38570,
  23852.             "INIT1": 38570,
  23853.             "INJECT1_0": "NO",
  23854.             "INJECT1_1": "NO"
  23855.           },
  23856.           "attributes": {
  23857.             "module_not_derived": 1,
  23858.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23859.           },
  23860.           "port_directions": {
  23861.             "A0": "input",
  23862.             "A1": "input",
  23863.             "B0": "input",
  23864.             "B1": "input",
  23865.             "C0": "input",
  23866.             "C1": "input",
  23867.             "CIN": "input",
  23868.             "COUT": "output",
  23869.             "D0": "input",
  23870.             "D1": "input",
  23871.             "S0": "output",
  23872.             "S1": "output"
  23873.           },
  23874.           "connections": {
  23875.             "A0": [ "0" ],
  23876.             "A1": [ "0" ],
  23877.             "B0": [ 485 ],
  23878.             "B1": [ 486 ],
  23879.             "C0": [ "0" ],
  23880.             "C1": [ "0" ],
  23881.             "CIN": [ 484 ],
  23882.             "COUT": [ 468 ],
  23883.             "D0": [ "1" ],
  23884.             "D1": [ "1" ],
  23885.             "S0": [ 51 ],
  23886.             "S1": [ 54 ]
  23887.           }
  23888.         },
  23889.         "$auto$alumacc.cc:474:replace_alu$329.slice[0].ccu2c_i": {
  23890.           "hide_name": 1,
  23891.           "type": "CCU2C",
  23892.           "parameters": {
  23893.             "INIT0": 38570,
  23894.             "INIT1": 38570,
  23895.             "INJECT1_0": "NO",
  23896.             "INJECT1_1": "NO"
  23897.           },
  23898.           "attributes": {
  23899.             "module_not_derived": 1,
  23900.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23901.           },
  23902.           "port_directions": {
  23903.             "A0": "input",
  23904.             "A1": "input",
  23905.             "B0": "input",
  23906.             "B1": "input",
  23907.             "C0": "input",
  23908.             "C1": "input",
  23909.             "CIN": "input",
  23910.             "COUT": "output",
  23911.             "D0": "input",
  23912.             "D1": "input",
  23913.             "S0": "output",
  23914.             "S1": "output"
  23915.           },
  23916.           "connections": {
  23917.             "A0": [ "1" ],
  23918.             "A1": [ "1" ],
  23919.             "B0": [ 98 ],
  23920.             "B1": [ 100 ],
  23921.             "C0": [ "1" ],
  23922.             "C1": [ "1" ],
  23923.             "CIN": [ "1" ],
  23924.             "COUT": [ 487 ],
  23925.             "D0": [ "1" ],
  23926.             "D1": [ "1" ],
  23927.             "S0": [ 118 ],
  23928.             "S1": [ 120 ]
  23929.           }
  23930.         },
  23931.         "$auto$alumacc.cc:474:replace_alu$329.slice[2].ccu2c_i": {
  23932.           "hide_name": 1,
  23933.           "type": "CCU2C",
  23934.           "parameters": {
  23935.             "INIT0": 38570,
  23936.             "INIT1": 38570,
  23937.             "INJECT1_0": "NO",
  23938.             "INJECT1_1": "NO"
  23939.           },
  23940.           "attributes": {
  23941.             "module_not_derived": 1,
  23942.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23943.           },
  23944.           "port_directions": {
  23945.             "A0": "input",
  23946.             "A1": "input",
  23947.             "B0": "input",
  23948.             "B1": "input",
  23949.             "C0": "input",
  23950.             "C1": "input",
  23951.             "CIN": "input",
  23952.             "COUT": "output",
  23953.             "D0": "input",
  23954.             "D1": "input",
  23955.             "S0": "output",
  23956.             "S1": "output"
  23957.           },
  23958.           "connections": {
  23959.             "A0": [ "1" ],
  23960.             "A1": [ "1" ],
  23961.             "B0": [ 102 ],
  23962.             "B1": [ 104 ],
  23963.             "C0": [ "1" ],
  23964.             "C1": [ "1" ],
  23965.             "CIN": [ 487 ],
  23966.             "COUT": [ 488 ],
  23967.             "D0": [ "1" ],
  23968.             "D1": [ "1" ],
  23969.             "S0": [ 122 ],
  23970.             "S1": [ 124 ]
  23971.           }
  23972.         },
  23973.         "$auto$alumacc.cc:474:replace_alu$329.slice[4].ccu2c_i": {
  23974.           "hide_name": 1,
  23975.           "type": "CCU2C",
  23976.           "parameters": {
  23977.             "INIT0": 38570,
  23978.             "INIT1": 38570,
  23979.             "INJECT1_0": "NO",
  23980.             "INJECT1_1": "NO"
  23981.           },
  23982.           "attributes": {
  23983.             "module_not_derived": 1,
  23984.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  23985.           },
  23986.           "port_directions": {
  23987.             "A0": "input",
  23988.             "A1": "input",
  23989.             "B0": "input",
  23990.             "B1": "input",
  23991.             "C0": "input",
  23992.             "C1": "input",
  23993.             "CIN": "input",
  23994.             "COUT": "output",
  23995.             "D0": "input",
  23996.             "D1": "input",
  23997.             "S0": "output",
  23998.             "S1": "output"
  23999.           },
  24000.           "connections": {
  24001.             "A0": [ "1" ],
  24002.             "A1": [ "1" ],
  24003.             "B0": [ 106 ],
  24004.             "B1": [ 108 ],
  24005.             "C0": [ "1" ],
  24006.             "C1": [ "1" ],
  24007.             "CIN": [ 488 ],
  24008.             "COUT": [ 489 ],
  24009.             "D0": [ "1" ],
  24010.             "D1": [ "1" ],
  24011.             "S0": [ 126 ],
  24012.             "S1": [ 128 ]
  24013.           }
  24014.         },
  24015.         "$auto$alumacc.cc:474:replace_alu$329.slice[6].ccu2c_i": {
  24016.           "hide_name": 1,
  24017.           "type": "CCU2C",
  24018.           "parameters": {
  24019.             "INIT0": 38570,
  24020.             "INIT1": 38570,
  24021.             "INJECT1_0": "NO",
  24022.             "INJECT1_1": "NO"
  24023.           },
  24024.           "attributes": {
  24025.             "module_not_derived": 1,
  24026.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24027.           },
  24028.           "port_directions": {
  24029.             "A0": "input",
  24030.             "A1": "input",
  24031.             "B0": "input",
  24032.             "B1": "input",
  24033.             "C0": "input",
  24034.             "C1": "input",
  24035.             "CIN": "input",
  24036.             "COUT": "output",
  24037.             "D0": "input",
  24038.             "D1": "input",
  24039.             "S0": "output",
  24040.             "S1": "output"
  24041.           },
  24042.           "connections": {
  24043.             "A0": [ "1" ],
  24044.             "A1": [ "1" ],
  24045.             "B0": [ 110 ],
  24046.             "B1": [ 112 ],
  24047.             "C0": [ "1" ],
  24048.             "C1": [ "1" ],
  24049.             "CIN": [ 489 ],
  24050.             "COUT": [ 490 ],
  24051.             "D0": [ "1" ],
  24052.             "D1": [ "1" ],
  24053.             "S0": [ 130 ],
  24054.             "S1": [ 132 ]
  24055.           }
  24056.         },
  24057.         "$auto$alumacc.cc:474:replace_alu$329.slice[8].ccu2c_i": {
  24058.           "hide_name": 1,
  24059.           "type": "CCU2C",
  24060.           "parameters": {
  24061.             "INIT0": 38570,
  24062.             "INIT1": 38570,
  24063.             "INJECT1_0": "NO",
  24064.             "INJECT1_1": "NO"
  24065.           },
  24066.           "attributes": {
  24067.             "module_not_derived": 1,
  24068.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24069.           },
  24070.           "port_directions": {
  24071.             "A0": "input",
  24072.             "A1": "input",
  24073.             "B0": "input",
  24074.             "B1": "input",
  24075.             "C0": "input",
  24076.             "C1": "input",
  24077.             "CIN": "input",
  24078.             "COUT": "output",
  24079.             "D0": "input",
  24080.             "D1": "input",
  24081.             "S0": "output",
  24082.             "S1": "output"
  24083.           },
  24084.           "connections": {
  24085.             "A0": [ "1" ],
  24086.             "A1": [ "1" ],
  24087.             "B0": [ 114 ],
  24088.             "B1": [ 116 ],
  24089.             "C0": [ "1" ],
  24090.             "C1": [ "1" ],
  24091.             "CIN": [ 490 ],
  24092.             "COUT": [ 491 ],
  24093.             "D0": [ "1" ],
  24094.             "D1": [ "1" ],
  24095.             "S0": [ 134 ],
  24096.             "S1": [ 136 ]
  24097.           }
  24098.         },
  24099.         "$auto$maccmap.cc:240:synth$474.slice[0].ccu2c_i": {
  24100.           "hide_name": 1,
  24101.           "type": "CCU2C",
  24102.           "parameters": {
  24103.             "INIT0": 38570,
  24104.             "INIT1": 38570,
  24105.             "INJECT1_0": "NO",
  24106.             "INJECT1_1": "NO"
  24107.           },
  24108.           "attributes": {
  24109.             "module_not_derived": 1,
  24110.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24111.           },
  24112.           "port_directions": {
  24113.             "A0": "input",
  24114.             "A1": "input",
  24115.             "B0": "input",
  24116.             "B1": "input",
  24117.             "C0": "input",
  24118.             "C1": "input",
  24119.             "CIN": "input",
  24120.             "COUT": "output",
  24121.             "D0": "input",
  24122.             "D1": "input",
  24123.             "S0": "output",
  24124.             "S1": "output"
  24125.           },
  24126.           "connections": {
  24127.             "A0": [ 464 ],
  24128.             "A1": [ 465 ],
  24129.             "B0": [ 266 ],
  24130.             "B1": [ "1" ],
  24131.             "C0": [ "0" ],
  24132.             "C1": [ "0" ],
  24133.             "CIN": [ "0" ],
  24134.             "COUT": [ 492 ],
  24135.             "D0": [ "1" ],
  24136.             "D1": [ "1" ],
  24137.             "S0": [ 26 ],
  24138.             "S1": [ 29 ]
  24139.           }
  24140.         },
  24141.         "$auto$maccmap.cc:240:synth$474.slice[10].ccu2c_i": {
  24142.           "hide_name": 1,
  24143.           "type": "CCU2C",
  24144.           "parameters": {
  24145.             "INIT0": 38570,
  24146.             "INIT1": 38570,
  24147.             "INJECT1_0": "NO",
  24148.             "INJECT1_1": "NO"
  24149.           },
  24150.           "attributes": {
  24151.             "module_not_derived": 1,
  24152.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24153.           },
  24154.           "port_directions": {
  24155.             "A0": "input",
  24156.             "A1": "input",
  24157.             "B0": "input",
  24158.             "B1": "input",
  24159.             "C0": "input",
  24160.             "C1": "input",
  24161.             "CIN": "input",
  24162.             "COUT": "output",
  24163.             "D0": "input",
  24164.             "D1": "input",
  24165.             "S0": "output",
  24166.             "S1": "output"
  24167.           },
  24168.           "connections": {
  24169.             "A0": [ 467 ],
  24170.             "A1": [ 98 ],
  24171.             "B0": [ "1" ],
  24172.             "B1": [ "1" ],
  24173.             "C0": [ "0" ],
  24174.             "C1": [ "0" ],
  24175.             "CIN": [ 493 ],
  24176.             "COUT": [ 494 ],
  24177.             "D0": [ "1" ],
  24178.             "D1": [ "1" ],
  24179.             "S0": [ 56 ],
  24180.             "S1": [ 59 ]
  24181.           }
  24182.         },
  24183.         "$auto$maccmap.cc:240:synth$474.slice[12].ccu2c_i": {
  24184.           "hide_name": 1,
  24185.           "type": "CCU2C",
  24186.           "parameters": {
  24187.             "INIT0": 38570,
  24188.             "INIT1": 38570,
  24189.             "INJECT1_0": "NO",
  24190.             "INJECT1_1": "NO"
  24191.           },
  24192.           "attributes": {
  24193.             "module_not_derived": 1,
  24194.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24195.           },
  24196.           "port_directions": {
  24197.             "A0": "input",
  24198.             "A1": "input",
  24199.             "B0": "input",
  24200.             "B1": "input",
  24201.             "C0": "input",
  24202.             "C1": "input",
  24203.             "CIN": "input",
  24204.             "COUT": "output",
  24205.             "D0": "input",
  24206.             "D1": "input",
  24207.             "S0": "output",
  24208.             "S1": "output"
  24209.           },
  24210.           "connections": {
  24211.             "A0": [ 100 ],
  24212.             "A1": [ 102 ],
  24213.             "B0": [ "1" ],
  24214.             "B1": [ "1" ],
  24215.             "C0": [ "0" ],
  24216.             "C1": [ "0" ],
  24217.             "CIN": [ 494 ],
  24218.             "COUT": [ 495 ],
  24219.             "D0": [ "1" ],
  24220.             "D1": [ "1" ],
  24221.             "S0": [ 62 ],
  24222.             "S1": [ 65 ]
  24223.           }
  24224.         },
  24225.         "$auto$maccmap.cc:240:synth$474.slice[14].ccu2c_i": {
  24226.           "hide_name": 1,
  24227.           "type": "CCU2C",
  24228.           "parameters": {
  24229.             "INIT0": 38570,
  24230.             "INIT1": 38570,
  24231.             "INJECT1_0": "NO",
  24232.             "INJECT1_1": "NO"
  24233.           },
  24234.           "attributes": {
  24235.             "module_not_derived": 1,
  24236.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24237.           },
  24238.           "port_directions": {
  24239.             "A0": "input",
  24240.             "A1": "input",
  24241.             "B0": "input",
  24242.             "B1": "input",
  24243.             "C0": "input",
  24244.             "C1": "input",
  24245.             "CIN": "input",
  24246.             "COUT": "output",
  24247.             "D0": "input",
  24248.             "D1": "input",
  24249.             "S0": "output",
  24250.             "S1": "output"
  24251.           },
  24252.           "connections": {
  24253.             "A0": [ 104 ],
  24254.             "A1": [ 106 ],
  24255.             "B0": [ "1" ],
  24256.             "B1": [ "1" ],
  24257.             "C0": [ "0" ],
  24258.             "C1": [ "0" ],
  24259.             "CIN": [ 495 ],
  24260.             "COUT": [ 496 ],
  24261.             "D0": [ "1" ],
  24262.             "D1": [ "1" ],
  24263.             "S0": [ 68 ],
  24264.             "S1": [ 71 ]
  24265.           }
  24266.         },
  24267.         "$auto$maccmap.cc:240:synth$474.slice[16].ccu2c_i": {
  24268.           "hide_name": 1,
  24269.           "type": "CCU2C",
  24270.           "parameters": {
  24271.             "INIT0": 38570,
  24272.             "INIT1": 38570,
  24273.             "INJECT1_0": "NO",
  24274.             "INJECT1_1": "NO"
  24275.           },
  24276.           "attributes": {
  24277.             "module_not_derived": 1,
  24278.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24279.           },
  24280.           "port_directions": {
  24281.             "A0": "input",
  24282.             "A1": "input",
  24283.             "B0": "input",
  24284.             "B1": "input",
  24285.             "C0": "input",
  24286.             "C1": "input",
  24287.             "CIN": "input",
  24288.             "COUT": "output",
  24289.             "D0": "input",
  24290.             "D1": "input",
  24291.             "S0": "output",
  24292.             "S1": "output"
  24293.           },
  24294.           "connections": {
  24295.             "A0": [ 108 ],
  24296.             "A1": [ 110 ],
  24297.             "B0": [ "1" ],
  24298.             "B1": [ "1" ],
  24299.             "C0": [ "0" ],
  24300.             "C1": [ "0" ],
  24301.             "CIN": [ 496 ],
  24302.             "COUT": [ 497 ],
  24303.             "D0": [ "1" ],
  24304.             "D1": [ "1" ],
  24305.             "S0": [ 74 ],
  24306.             "S1": [ 77 ]
  24307.           }
  24308.         },
  24309.         "$auto$maccmap.cc:240:synth$474.slice[18].ccu2c_i": {
  24310.           "hide_name": 1,
  24311.           "type": "CCU2C",
  24312.           "parameters": {
  24313.             "INIT0": 38570,
  24314.             "INIT1": 38570,
  24315.             "INJECT1_0": "NO",
  24316.             "INJECT1_1": "NO"
  24317.           },
  24318.           "attributes": {
  24319.             "module_not_derived": 1,
  24320.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24321.           },
  24322.           "port_directions": {
  24323.             "A0": "input",
  24324.             "A1": "input",
  24325.             "B0": "input",
  24326.             "B1": "input",
  24327.             "C0": "input",
  24328.             "C1": "input",
  24329.             "CIN": "input",
  24330.             "COUT": "output",
  24331.             "D0": "input",
  24332.             "D1": "input",
  24333.             "S0": "output",
  24334.             "S1": "output"
  24335.           },
  24336.           "connections": {
  24337.             "A0": [ 112 ],
  24338.             "A1": [ 114 ],
  24339.             "B0": [ "1" ],
  24340.             "B1": [ "1" ],
  24341.             "C0": [ "0" ],
  24342.             "C1": [ "0" ],
  24343.             "CIN": [ 497 ],
  24344.             "COUT": [ 498 ],
  24345.             "D0": [ "1" ],
  24346.             "D1": [ "1" ],
  24347.             "S0": [ 80 ],
  24348.             "S1": [ 83 ]
  24349.           }
  24350.         },
  24351.         "$auto$maccmap.cc:240:synth$474.slice[20].ccu2c_i": {
  24352.           "hide_name": 1,
  24353.           "type": "CCU2C",
  24354.           "parameters": {
  24355.             "INIT0": 38570,
  24356.             "INIT1": 38570,
  24357.             "INJECT1_0": "NO",
  24358.             "INJECT1_1": "NO"
  24359.           },
  24360.           "attributes": {
  24361.             "module_not_derived": 1,
  24362.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24363.           },
  24364.           "port_directions": {
  24365.             "A0": "input",
  24366.             "A1": "input",
  24367.             "B0": "input",
  24368.             "B1": "input",
  24369.             "C0": "input",
  24370.             "C1": "input",
  24371.             "CIN": "input",
  24372.             "COUT": "output",
  24373.             "D0": "input",
  24374.             "D1": "input",
  24375.             "S0": "output",
  24376.             "S1": "output"
  24377.           },
  24378.           "connections": {
  24379.             "A0": [ 116 ],
  24380.             "A1": [ 14 ],
  24381.             "B0": [ "1" ],
  24382.             "B1": [ "1" ],
  24383.             "C0": [ "0" ],
  24384.             "C1": [ "0" ],
  24385.             "CIN": [ 498 ],
  24386.             "COUT": [ 499 ],
  24387.             "D0": [ "1" ],
  24388.             "D1": [ "1" ],
  24389.             "S0": [ 86 ],
  24390.             "S1": [ 89 ]
  24391.           }
  24392.         },
  24393.         "$auto$maccmap.cc:240:synth$474.slice[22].ccu2c_i": {
  24394.           "hide_name": 1,
  24395.           "type": "CCU2C",
  24396.           "parameters": {
  24397.             "INIT0": 38570,
  24398.             "INIT1": 38570,
  24399.             "INJECT1_0": "NO",
  24400.             "INJECT1_1": "NO"
  24401.           },
  24402.           "attributes": {
  24403.             "module_not_derived": 1,
  24404.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24405.           },
  24406.           "port_directions": {
  24407.             "A0": "input",
  24408.             "A1": "input",
  24409.             "B0": "input",
  24410.             "B1": "input",
  24411.             "C0": "input",
  24412.             "C1": "input",
  24413.             "CIN": "input",
  24414.             "COUT": "output",
  24415.             "D0": "input",
  24416.             "D1": "input",
  24417.             "S0": "output",
  24418.             "S1": "output"
  24419.           },
  24420.           "connections": {
  24421.             "A0": [ 12 ],
  24422.             "A1": [ 13 ],
  24423.             "B0": [ "1" ],
  24424.             "B1": [ "1" ],
  24425.             "C0": [ "0" ],
  24426.             "C1": [ "0" ],
  24427.             "CIN": [ 499 ],
  24428.             "COUT": [ 500 ],
  24429.             "D0": [ "1" ],
  24430.             "D1": [ "1" ],
  24431.             "S0": [ 92 ],
  24432.             "S1": [ 95 ]
  24433.           }
  24434.         },
  24435.         "$auto$maccmap.cc:240:synth$474.slice[2].ccu2c_i": {
  24436.           "hide_name": 1,
  24437.           "type": "CCU2C",
  24438.           "parameters": {
  24439.             "INIT0": 38570,
  24440.             "INIT1": 38570,
  24441.             "INJECT1_0": "NO",
  24442.             "INJECT1_1": "NO"
  24443.           },
  24444.           "attributes": {
  24445.             "module_not_derived": 1,
  24446.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24447.           },
  24448.           "port_directions": {
  24449.             "A0": "input",
  24450.             "A1": "input",
  24451.             "B0": "input",
  24452.             "B1": "input",
  24453.             "C0": "input",
  24454.             "C1": "input",
  24455.             "CIN": "input",
  24456.             "COUT": "output",
  24457.             "D0": "input",
  24458.             "D1": "input",
  24459.             "S0": "output",
  24460.             "S1": "output"
  24461.           },
  24462.           "connections": {
  24463.             "A0": [ 476 ],
  24464.             "A1": [ 477 ],
  24465.             "B0": [ "1" ],
  24466.             "B1": [ "1" ],
  24467.             "C0": [ "0" ],
  24468.             "C1": [ "0" ],
  24469.             "CIN": [ 492 ],
  24470.             "COUT": [ 501 ],
  24471.             "D0": [ "1" ],
  24472.             "D1": [ "1" ],
  24473.             "S0": [ 32 ],
  24474.             "S1": [ 35 ]
  24475.           }
  24476.         },
  24477.         "$auto$maccmap.cc:240:synth$474.slice[4].ccu2c_i": {
  24478.           "hide_name": 1,
  24479.           "type": "CCU2C",
  24480.           "parameters": {
  24481.             "INIT0": 38570,
  24482.             "INIT1": 38570,
  24483.             "INJECT1_0": "NO",
  24484.             "INJECT1_1": "NO"
  24485.           },
  24486.           "attributes": {
  24487.             "module_not_derived": 1,
  24488.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24489.           },
  24490.           "port_directions": {
  24491.             "A0": "input",
  24492.             "A1": "input",
  24493.             "B0": "input",
  24494.             "B1": "input",
  24495.             "C0": "input",
  24496.             "C1": "input",
  24497.             "CIN": "input",
  24498.             "COUT": "output",
  24499.             "D0": "input",
  24500.             "D1": "input",
  24501.             "S0": "output",
  24502.             "S1": "output"
  24503.           },
  24504.           "connections": {
  24505.             "A0": [ 479 ],
  24506.             "A1": [ 480 ],
  24507.             "B0": [ "1" ],
  24508.             "B1": [ "1" ],
  24509.             "C0": [ "0" ],
  24510.             "C1": [ "0" ],
  24511.             "CIN": [ 501 ],
  24512.             "COUT": [ 502 ],
  24513.             "D0": [ "1" ],
  24514.             "D1": [ "1" ],
  24515.             "S0": [ 38 ],
  24516.             "S1": [ 41 ]
  24517.           }
  24518.         },
  24519.         "$auto$maccmap.cc:240:synth$474.slice[6].ccu2c_i": {
  24520.           "hide_name": 1,
  24521.           "type": "CCU2C",
  24522.           "parameters": {
  24523.             "INIT0": 38570,
  24524.             "INIT1": 38570,
  24525.             "INJECT1_0": "NO",
  24526.             "INJECT1_1": "NO"
  24527.           },
  24528.           "attributes": {
  24529.             "module_not_derived": 1,
  24530.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24531.           },
  24532.           "port_directions": {
  24533.             "A0": "input",
  24534.             "A1": "input",
  24535.             "B0": "input",
  24536.             "B1": "input",
  24537.             "C0": "input",
  24538.             "C1": "input",
  24539.             "CIN": "input",
  24540.             "COUT": "output",
  24541.             "D0": "input",
  24542.             "D1": "input",
  24543.             "S0": "output",
  24544.             "S1": "output"
  24545.           },
  24546.           "connections": {
  24547.             "A0": [ 482 ],
  24548.             "A1": [ 483 ],
  24549.             "B0": [ "1" ],
  24550.             "B1": [ "1" ],
  24551.             "C0": [ "0" ],
  24552.             "C1": [ "0" ],
  24553.             "CIN": [ 502 ],
  24554.             "COUT": [ 503 ],
  24555.             "D0": [ "1" ],
  24556.             "D1": [ "1" ],
  24557.             "S0": [ 44 ],
  24558.             "S1": [ 47 ]
  24559.           }
  24560.         },
  24561.         "$auto$maccmap.cc:240:synth$474.slice[8].ccu2c_i": {
  24562.           "hide_name": 1,
  24563.           "type": "CCU2C",
  24564.           "parameters": {
  24565.             "INIT0": 38570,
  24566.             "INIT1": 38570,
  24567.             "INJECT1_0": "NO",
  24568.             "INJECT1_1": "NO"
  24569.           },
  24570.           "attributes": {
  24571.             "module_not_derived": 1,
  24572.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:59"
  24573.           },
  24574.           "port_directions": {
  24575.             "A0": "input",
  24576.             "A1": "input",
  24577.             "B0": "input",
  24578.             "B1": "input",
  24579.             "C0": "input",
  24580.             "C1": "input",
  24581.             "CIN": "input",
  24582.             "COUT": "output",
  24583.             "D0": "input",
  24584.             "D1": "input",
  24585.             "S0": "output",
  24586.             "S1": "output"
  24587.           },
  24588.           "connections": {
  24589.             "A0": [ 485 ],
  24590.             "A1": [ 486 ],
  24591.             "B0": [ "1" ],
  24592.             "B1": [ "1" ],
  24593.             "C0": [ "0" ],
  24594.             "C1": [ "0" ],
  24595.             "CIN": [ 503 ],
  24596.             "COUT": [ 493 ],
  24597.             "D0": [ "1" ],
  24598.             "D1": [ "1" ],
  24599.             "S0": [ 50 ],
  24600.             "S1": [ 53 ]
  24601.           }
  24602.         },
  24603.         "$auto$simplemap.cc:420:simplemap_dff$859": {
  24604.           "hide_name": 1,
  24605.           "type": "TRELLIS_FF",
  24606.           "parameters": {
  24607.             "CEMUX": "1",
  24608.             "CLKMUX": "CLK",
  24609.             "GSR": "DISABLED",
  24610.             "LSRMUX": "LSR",
  24611.             "REGSET": "RESET"
  24612.           },
  24613.           "attributes": {
  24614.             "module_not_derived": 1,
  24615.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  24616.           },
  24617.           "port_directions": {
  24618.             "CLK": "input",
  24619.             "DI": "input",
  24620.             "LSR": "input",
  24621.             "Q": "output"
  24622.           },
  24623.           "connections": {
  24624.             "CLK": [ 2 ],
  24625.             "DI": [ 253 ],
  24626.             "LSR": [ "0" ],
  24627.             "Q": [ 11 ]
  24628.           }
  24629.         },
  24630.         "$auto$simplemap.cc:420:simplemap_dff$862": {
  24631.           "hide_name": 1,
  24632.           "type": "TRELLIS_FF",
  24633.           "parameters": {
  24634.             "CEMUX": "1",
  24635.             "CLKMUX": "CLK",
  24636.             "GSR": "DISABLED",
  24637.             "LSRMUX": "LSR",
  24638.             "REGSET": "SET",
  24639.             "SRMODE": "LSR_OVER_CE"
  24640.           },
  24641.           "attributes": {
  24642.             "module_not_derived": 1,
  24643.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24644.           },
  24645.           "port_directions": {
  24646.             "CLK": "input",
  24647.             "DI": "input",
  24648.             "LSR": "input",
  24649.             "Q": "output"
  24650.           },
  24651.           "connections": {
  24652.             "CLK": [ 2 ],
  24653.             "DI": [ 99 ],
  24654.             "LSR": [ 16 ],
  24655.             "Q": [ 267 ]
  24656.           }
  24657.         },
  24658.         "$auto$simplemap.cc:420:simplemap_dff$863": {
  24659.           "hide_name": 1,
  24660.           "type": "TRELLIS_FF",
  24661.           "parameters": {
  24662.             "CEMUX": "1",
  24663.             "CLKMUX": "CLK",
  24664.             "GSR": "DISABLED",
  24665.             "LSRMUX": "LSR",
  24666.             "REGSET": "SET",
  24667.             "SRMODE": "LSR_OVER_CE"
  24668.           },
  24669.           "attributes": {
  24670.             "module_not_derived": 1,
  24671.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24672.           },
  24673.           "port_directions": {
  24674.             "CLK": "input",
  24675.             "DI": "input",
  24676.             "LSR": "input",
  24677.             "Q": "output"
  24678.           },
  24679.           "connections": {
  24680.             "CLK": [ 2 ],
  24681.             "DI": [ 101 ],
  24682.             "LSR": [ 16 ],
  24683.             "Q": [ 268 ]
  24684.           }
  24685.         },
  24686.         "$auto$simplemap.cc:420:simplemap_dff$864": {
  24687.           "hide_name": 1,
  24688.           "type": "TRELLIS_FF",
  24689.           "parameters": {
  24690.             "CEMUX": "1",
  24691.             "CLKMUX": "CLK",
  24692.             "GSR": "DISABLED",
  24693.             "LSRMUX": "LSR",
  24694.             "REGSET": "SET",
  24695.             "SRMODE": "LSR_OVER_CE"
  24696.           },
  24697.           "attributes": {
  24698.             "module_not_derived": 1,
  24699.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24700.           },
  24701.           "port_directions": {
  24702.             "CLK": "input",
  24703.             "DI": "input",
  24704.             "LSR": "input",
  24705.             "Q": "output"
  24706.           },
  24707.           "connections": {
  24708.             "CLK": [ 2 ],
  24709.             "DI": [ 103 ],
  24710.             "LSR": [ 16 ],
  24711.             "Q": [ 272 ]
  24712.           }
  24713.         },
  24714.         "$auto$simplemap.cc:420:simplemap_dff$865": {
  24715.           "hide_name": 1,
  24716.           "type": "TRELLIS_FF",
  24717.           "parameters": {
  24718.             "CEMUX": "1",
  24719.             "CLKMUX": "CLK",
  24720.             "GSR": "DISABLED",
  24721.             "LSRMUX": "LSR",
  24722.             "REGSET": "SET",
  24723.             "SRMODE": "LSR_OVER_CE"
  24724.           },
  24725.           "attributes": {
  24726.             "module_not_derived": 1,
  24727.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24728.           },
  24729.           "port_directions": {
  24730.             "CLK": "input",
  24731.             "DI": "input",
  24732.             "LSR": "input",
  24733.             "Q": "output"
  24734.           },
  24735.           "connections": {
  24736.             "CLK": [ 2 ],
  24737.             "DI": [ 105 ],
  24738.             "LSR": [ 16 ],
  24739.             "Q": [ 273 ]
  24740.           }
  24741.         },
  24742.         "$auto$simplemap.cc:420:simplemap_dff$866": {
  24743.           "hide_name": 1,
  24744.           "type": "TRELLIS_FF",
  24745.           "parameters": {
  24746.             "CEMUX": "1",
  24747.             "CLKMUX": "CLK",
  24748.             "GSR": "DISABLED",
  24749.             "LSRMUX": "LSR",
  24750.             "REGSET": "SET",
  24751.             "SRMODE": "LSR_OVER_CE"
  24752.           },
  24753.           "attributes": {
  24754.             "module_not_derived": 1,
  24755.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24756.           },
  24757.           "port_directions": {
  24758.             "CLK": "input",
  24759.             "DI": "input",
  24760.             "LSR": "input",
  24761.             "Q": "output"
  24762.           },
  24763.           "connections": {
  24764.             "CLK": [ 2 ],
  24765.             "DI": [ 107 ],
  24766.             "LSR": [ 16 ],
  24767.             "Q": [ 277 ]
  24768.           }
  24769.         },
  24770.         "$auto$simplemap.cc:420:simplemap_dff$867": {
  24771.           "hide_name": 1,
  24772.           "type": "TRELLIS_FF",
  24773.           "parameters": {
  24774.             "CEMUX": "1",
  24775.             "CLKMUX": "CLK",
  24776.             "GSR": "DISABLED",
  24777.             "LSRMUX": "LSR",
  24778.             "REGSET": "SET",
  24779.             "SRMODE": "LSR_OVER_CE"
  24780.           },
  24781.           "attributes": {
  24782.             "module_not_derived": 1,
  24783.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24784.           },
  24785.           "port_directions": {
  24786.             "CLK": "input",
  24787.             "DI": "input",
  24788.             "LSR": "input",
  24789.             "Q": "output"
  24790.           },
  24791.           "connections": {
  24792.             "CLK": [ 2 ],
  24793.             "DI": [ 109 ],
  24794.             "LSR": [ 16 ],
  24795.             "Q": [ 278 ]
  24796.           }
  24797.         },
  24798.         "$auto$simplemap.cc:420:simplemap_dff$868": {
  24799.           "hide_name": 1,
  24800.           "type": "TRELLIS_FF",
  24801.           "parameters": {
  24802.             "CEMUX": "1",
  24803.             "CLKMUX": "CLK",
  24804.             "GSR": "DISABLED",
  24805.             "LSRMUX": "LSR",
  24806.             "REGSET": "SET",
  24807.             "SRMODE": "LSR_OVER_CE"
  24808.           },
  24809.           "attributes": {
  24810.             "module_not_derived": 1,
  24811.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24812.           },
  24813.           "port_directions": {
  24814.             "CLK": "input",
  24815.             "DI": "input",
  24816.             "LSR": "input",
  24817.             "Q": "output"
  24818.           },
  24819.           "connections": {
  24820.             "CLK": [ 2 ],
  24821.             "DI": [ 111 ],
  24822.             "LSR": [ 16 ],
  24823.             "Q": [ 282 ]
  24824.           }
  24825.         },
  24826.         "$auto$simplemap.cc:420:simplemap_dff$869": {
  24827.           "hide_name": 1,
  24828.           "type": "TRELLIS_FF",
  24829.           "parameters": {
  24830.             "CEMUX": "1",
  24831.             "CLKMUX": "CLK",
  24832.             "GSR": "DISABLED",
  24833.             "LSRMUX": "LSR",
  24834.             "REGSET": "SET",
  24835.             "SRMODE": "LSR_OVER_CE"
  24836.           },
  24837.           "attributes": {
  24838.             "module_not_derived": 1,
  24839.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24840.           },
  24841.           "port_directions": {
  24842.             "CLK": "input",
  24843.             "DI": "input",
  24844.             "LSR": "input",
  24845.             "Q": "output"
  24846.           },
  24847.           "connections": {
  24848.             "CLK": [ 2 ],
  24849.             "DI": [ 113 ],
  24850.             "LSR": [ 16 ],
  24851.             "Q": [ 283 ]
  24852.           }
  24853.         },
  24854.         "$auto$simplemap.cc:420:simplemap_dff$870": {
  24855.           "hide_name": 1,
  24856.           "type": "TRELLIS_FF",
  24857.           "parameters": {
  24858.             "CEMUX": "1",
  24859.             "CLKMUX": "CLK",
  24860.             "GSR": "DISABLED",
  24861.             "LSRMUX": "LSR",
  24862.             "REGSET": "SET",
  24863.             "SRMODE": "LSR_OVER_CE"
  24864.           },
  24865.           "attributes": {
  24866.             "module_not_derived": 1,
  24867.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24868.           },
  24869.           "port_directions": {
  24870.             "CLK": "input",
  24871.             "DI": "input",
  24872.             "LSR": "input",
  24873.             "Q": "output"
  24874.           },
  24875.           "connections": {
  24876.             "CLK": [ 2 ],
  24877.             "DI": [ 115 ],
  24878.             "LSR": [ 16 ],
  24879.             "Q": [ 287 ]
  24880.           }
  24881.         },
  24882.         "$auto$simplemap.cc:420:simplemap_dff$871": {
  24883.           "hide_name": 1,
  24884.           "type": "TRELLIS_FF",
  24885.           "parameters": {
  24886.             "CEMUX": "1",
  24887.             "CLKMUX": "CLK",
  24888.             "GSR": "DISABLED",
  24889.             "LSRMUX": "LSR",
  24890.             "REGSET": "SET",
  24891.             "SRMODE": "LSR_OVER_CE"
  24892.           },
  24893.           "attributes": {
  24894.             "module_not_derived": 1,
  24895.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24896.           },
  24897.           "port_directions": {
  24898.             "CLK": "input",
  24899.             "DI": "input",
  24900.             "LSR": "input",
  24901.             "Q": "output"
  24902.           },
  24903.           "connections": {
  24904.             "CLK": [ 2 ],
  24905.             "DI": [ 117 ],
  24906.             "LSR": [ 16 ],
  24907.             "Q": [ 288 ]
  24908.           }
  24909.         },
  24910.         "$auto$simplemap.cc:420:simplemap_dff$872": {
  24911.           "hide_name": 1,
  24912.           "type": "TRELLIS_FF",
  24913.           "parameters": {
  24914.             "CEMUX": "1",
  24915.             "CLKMUX": "CLK",
  24916.             "GSR": "DISABLED",
  24917.             "LSRMUX": "LSR",
  24918.             "REGSET": "RESET"
  24919.           },
  24920.           "attributes": {
  24921.             "module_not_derived": 1,
  24922.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  24923.           },
  24924.           "port_directions": {
  24925.             "CLK": "input",
  24926.             "DI": "input",
  24927.             "LSR": "input",
  24928.             "Q": "output"
  24929.           },
  24930.           "connections": {
  24931.             "CLK": [ 2 ],
  24932.             "DI": [ 259 ],
  24933.             "LSR": [ "0" ],
  24934.             "Q": [ 10 ]
  24935.           }
  24936.         },
  24937.         "$auto$simplemap.cc:420:simplemap_dff$873": {
  24938.           "hide_name": 1,
  24939.           "type": "TRELLIS_FF",
  24940.           "parameters": {
  24941.             "CEMUX": "1",
  24942.             "CLKMUX": "CLK",
  24943.             "GSR": "DISABLED",
  24944.             "LSRMUX": "LSR",
  24945.             "REGSET": "SET",
  24946.             "SRMODE": "LSR_OVER_CE"
  24947.           },
  24948.           "attributes": {
  24949.             "module_not_derived": 1,
  24950.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24951.           },
  24952.           "port_directions": {
  24953.             "CLK": "input",
  24954.             "DI": "input",
  24955.             "LSR": "input",
  24956.             "Q": "output"
  24957.           },
  24958.           "connections": {
  24959.             "CLK": [ 2 ],
  24960.             "DI": [ 119 ],
  24961.             "LSR": [ 22 ],
  24962.             "Q": [ 291 ]
  24963.           }
  24964.         },
  24965.         "$auto$simplemap.cc:420:simplemap_dff$874": {
  24966.           "hide_name": 1,
  24967.           "type": "TRELLIS_FF",
  24968.           "parameters": {
  24969.             "CEMUX": "1",
  24970.             "CLKMUX": "CLK",
  24971.             "GSR": "DISABLED",
  24972.             "LSRMUX": "LSR",
  24973.             "REGSET": "SET",
  24974.             "SRMODE": "LSR_OVER_CE"
  24975.           },
  24976.           "attributes": {
  24977.             "module_not_derived": 1,
  24978.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  24979.           },
  24980.           "port_directions": {
  24981.             "CLK": "input",
  24982.             "DI": "input",
  24983.             "LSR": "input",
  24984.             "Q": "output"
  24985.           },
  24986.           "connections": {
  24987.             "CLK": [ 2 ],
  24988.             "DI": [ 121 ],
  24989.             "LSR": [ 22 ],
  24990.             "Q": [ 292 ]
  24991.           }
  24992.         },
  24993.         "$auto$simplemap.cc:420:simplemap_dff$875": {
  24994.           "hide_name": 1,
  24995.           "type": "TRELLIS_FF",
  24996.           "parameters": {
  24997.             "CEMUX": "1",
  24998.             "CLKMUX": "CLK",
  24999.             "GSR": "DISABLED",
  25000.             "LSRMUX": "LSR",
  25001.             "REGSET": "SET",
  25002.             "SRMODE": "LSR_OVER_CE"
  25003.           },
  25004.           "attributes": {
  25005.             "module_not_derived": 1,
  25006.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25007.           },
  25008.           "port_directions": {
  25009.             "CLK": "input",
  25010.             "DI": "input",
  25011.             "LSR": "input",
  25012.             "Q": "output"
  25013.           },
  25014.           "connections": {
  25015.             "CLK": [ 2 ],
  25016.             "DI": [ 123 ],
  25017.             "LSR": [ 22 ],
  25018.             "Q": [ 296 ]
  25019.           }
  25020.         },
  25021.         "$auto$simplemap.cc:420:simplemap_dff$876": {
  25022.           "hide_name": 1,
  25023.           "type": "TRELLIS_FF",
  25024.           "parameters": {
  25025.             "CEMUX": "1",
  25026.             "CLKMUX": "CLK",
  25027.             "GSR": "DISABLED",
  25028.             "LSRMUX": "LSR",
  25029.             "REGSET": "SET",
  25030.             "SRMODE": "LSR_OVER_CE"
  25031.           },
  25032.           "attributes": {
  25033.             "module_not_derived": 1,
  25034.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25035.           },
  25036.           "port_directions": {
  25037.             "CLK": "input",
  25038.             "DI": "input",
  25039.             "LSR": "input",
  25040.             "Q": "output"
  25041.           },
  25042.           "connections": {
  25043.             "CLK": [ 2 ],
  25044.             "DI": [ 125 ],
  25045.             "LSR": [ 22 ],
  25046.             "Q": [ 297 ]
  25047.           }
  25048.         },
  25049.         "$auto$simplemap.cc:420:simplemap_dff$877": {
  25050.           "hide_name": 1,
  25051.           "type": "TRELLIS_FF",
  25052.           "parameters": {
  25053.             "CEMUX": "1",
  25054.             "CLKMUX": "CLK",
  25055.             "GSR": "DISABLED",
  25056.             "LSRMUX": "LSR",
  25057.             "REGSET": "SET",
  25058.             "SRMODE": "LSR_OVER_CE"
  25059.           },
  25060.           "attributes": {
  25061.             "module_not_derived": 1,
  25062.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25063.           },
  25064.           "port_directions": {
  25065.             "CLK": "input",
  25066.             "DI": "input",
  25067.             "LSR": "input",
  25068.             "Q": "output"
  25069.           },
  25070.           "connections": {
  25071.             "CLK": [ 2 ],
  25072.             "DI": [ 127 ],
  25073.             "LSR": [ 22 ],
  25074.             "Q": [ 301 ]
  25075.           }
  25076.         },
  25077.         "$auto$simplemap.cc:420:simplemap_dff$878": {
  25078.           "hide_name": 1,
  25079.           "type": "TRELLIS_FF",
  25080.           "parameters": {
  25081.             "CEMUX": "1",
  25082.             "CLKMUX": "CLK",
  25083.             "GSR": "DISABLED",
  25084.             "LSRMUX": "LSR",
  25085.             "REGSET": "SET",
  25086.             "SRMODE": "LSR_OVER_CE"
  25087.           },
  25088.           "attributes": {
  25089.             "module_not_derived": 1,
  25090.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25091.           },
  25092.           "port_directions": {
  25093.             "CLK": "input",
  25094.             "DI": "input",
  25095.             "LSR": "input",
  25096.             "Q": "output"
  25097.           },
  25098.           "connections": {
  25099.             "CLK": [ 2 ],
  25100.             "DI": [ 129 ],
  25101.             "LSR": [ 22 ],
  25102.             "Q": [ 302 ]
  25103.           }
  25104.         },
  25105.         "$auto$simplemap.cc:420:simplemap_dff$879": {
  25106.           "hide_name": 1,
  25107.           "type": "TRELLIS_FF",
  25108.           "parameters": {
  25109.             "CEMUX": "1",
  25110.             "CLKMUX": "CLK",
  25111.             "GSR": "DISABLED",
  25112.             "LSRMUX": "LSR",
  25113.             "REGSET": "SET",
  25114.             "SRMODE": "LSR_OVER_CE"
  25115.           },
  25116.           "attributes": {
  25117.             "module_not_derived": 1,
  25118.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25119.           },
  25120.           "port_directions": {
  25121.             "CLK": "input",
  25122.             "DI": "input",
  25123.             "LSR": "input",
  25124.             "Q": "output"
  25125.           },
  25126.           "connections": {
  25127.             "CLK": [ 2 ],
  25128.             "DI": [ 131 ],
  25129.             "LSR": [ 22 ],
  25130.             "Q": [ 306 ]
  25131.           }
  25132.         },
  25133.         "$auto$simplemap.cc:420:simplemap_dff$880": {
  25134.           "hide_name": 1,
  25135.           "type": "TRELLIS_FF",
  25136.           "parameters": {
  25137.             "CEMUX": "1",
  25138.             "CLKMUX": "CLK",
  25139.             "GSR": "DISABLED",
  25140.             "LSRMUX": "LSR",
  25141.             "REGSET": "SET",
  25142.             "SRMODE": "LSR_OVER_CE"
  25143.           },
  25144.           "attributes": {
  25145.             "module_not_derived": 1,
  25146.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25147.           },
  25148.           "port_directions": {
  25149.             "CLK": "input",
  25150.             "DI": "input",
  25151.             "LSR": "input",
  25152.             "Q": "output"
  25153.           },
  25154.           "connections": {
  25155.             "CLK": [ 2 ],
  25156.             "DI": [ 133 ],
  25157.             "LSR": [ 22 ],
  25158.             "Q": [ 307 ]
  25159.           }
  25160.         },
  25161.         "$auto$simplemap.cc:420:simplemap_dff$881": {
  25162.           "hide_name": 1,
  25163.           "type": "TRELLIS_FF",
  25164.           "parameters": {
  25165.             "CEMUX": "1",
  25166.             "CLKMUX": "CLK",
  25167.             "GSR": "DISABLED",
  25168.             "LSRMUX": "LSR",
  25169.             "REGSET": "SET",
  25170.             "SRMODE": "LSR_OVER_CE"
  25171.           },
  25172.           "attributes": {
  25173.             "module_not_derived": 1,
  25174.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25175.           },
  25176.           "port_directions": {
  25177.             "CLK": "input",
  25178.             "DI": "input",
  25179.             "LSR": "input",
  25180.             "Q": "output"
  25181.           },
  25182.           "connections": {
  25183.             "CLK": [ 2 ],
  25184.             "DI": [ 135 ],
  25185.             "LSR": [ 22 ],
  25186.             "Q": [ 311 ]
  25187.           }
  25188.         },
  25189.         "$auto$simplemap.cc:420:simplemap_dff$882": {
  25190.           "hide_name": 1,
  25191.           "type": "TRELLIS_FF",
  25192.           "parameters": {
  25193.             "CEMUX": "1",
  25194.             "CLKMUX": "CLK",
  25195.             "GSR": "DISABLED",
  25196.             "LSRMUX": "LSR",
  25197.             "REGSET": "SET",
  25198.             "SRMODE": "LSR_OVER_CE"
  25199.           },
  25200.           "attributes": {
  25201.             "module_not_derived": 1,
  25202.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25203.           },
  25204.           "port_directions": {
  25205.             "CLK": "input",
  25206.             "DI": "input",
  25207.             "LSR": "input",
  25208.             "Q": "output"
  25209.           },
  25210.           "connections": {
  25211.             "CLK": [ 2 ],
  25212.             "DI": [ 137 ],
  25213.             "LSR": [ 22 ],
  25214.             "Q": [ 312 ]
  25215.           }
  25216.         },
  25217.         "$auto$simplemap.cc:420:simplemap_dff$883": {
  25218.           "hide_name": 1,
  25219.           "type": "TRELLIS_FF",
  25220.           "parameters": {
  25221.             "CEMUX": "1",
  25222.             "CLKMUX": "CLK",
  25223.             "GSR": "DISABLED",
  25224.             "LSRMUX": "LSR",
  25225.             "REGSET": "RESET"
  25226.           },
  25227.           "attributes": {
  25228.             "module_not_derived": 1,
  25229.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  25230.           },
  25231.           "port_directions": {
  25232.             "CLK": "input",
  25233.             "DI": "input",
  25234.             "LSR": "input",
  25235.             "Q": "output"
  25236.           },
  25237.           "connections": {
  25238.             "CLK": [ 2 ],
  25239.             "DI": [ 263 ],
  25240.             "LSR": [ "0" ],
  25241.             "Q": [ 9 ]
  25242.           }
  25243.         },
  25244.         "$auto$simplemap.cc:420:simplemap_dff$884": {
  25245.           "hide_name": 1,
  25246.           "type": "TRELLIS_FF",
  25247.           "parameters": {
  25248.             "CEMUX": "1",
  25249.             "CLKMUX": "CLK",
  25250.             "GSR": "DISABLED",
  25251.             "LSRMUX": "LSR",
  25252.             "REGSET": "SET",
  25253.             "SRMODE": "LSR_OVER_CE"
  25254.           },
  25255.           "attributes": {
  25256.             "module_not_derived": 1,
  25257.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25258.           },
  25259.           "port_directions": {
  25260.             "CLK": "input",
  25261.             "DI": "input",
  25262.             "LSR": "input",
  25263.             "Q": "output"
  25264.           },
  25265.           "connections": {
  25266.             "CLK": [ 2 ],
  25267.             "DI": [ 138 ],
  25268.             "LSR": [ 21 ],
  25269.             "Q": [ 315 ]
  25270.           }
  25271.         },
  25272.         "$auto$simplemap.cc:420:simplemap_dff$885": {
  25273.           "hide_name": 1,
  25274.           "type": "TRELLIS_FF",
  25275.           "parameters": {
  25276.             "CEMUX": "1",
  25277.             "CLKMUX": "CLK",
  25278.             "GSR": "DISABLED",
  25279.             "LSRMUX": "LSR",
  25280.             "REGSET": "SET",
  25281.             "SRMODE": "LSR_OVER_CE"
  25282.           },
  25283.           "attributes": {
  25284.             "module_not_derived": 1,
  25285.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25286.           },
  25287.           "port_directions": {
  25288.             "CLK": "input",
  25289.             "DI": "input",
  25290.             "LSR": "input",
  25291.             "Q": "output"
  25292.           },
  25293.           "connections": {
  25294.             "CLK": [ 2 ],
  25295.             "DI": [ 139 ],
  25296.             "LSR": [ 21 ],
  25297.             "Q": [ 316 ]
  25298.           }
  25299.         },
  25300.         "$auto$simplemap.cc:420:simplemap_dff$886": {
  25301.           "hide_name": 1,
  25302.           "type": "TRELLIS_FF",
  25303.           "parameters": {
  25304.             "CEMUX": "1",
  25305.             "CLKMUX": "CLK",
  25306.             "GSR": "DISABLED",
  25307.             "LSRMUX": "LSR",
  25308.             "REGSET": "SET",
  25309.             "SRMODE": "LSR_OVER_CE"
  25310.           },
  25311.           "attributes": {
  25312.             "module_not_derived": 1,
  25313.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25314.           },
  25315.           "port_directions": {
  25316.             "CLK": "input",
  25317.             "DI": "input",
  25318.             "LSR": "input",
  25319.             "Q": "output"
  25320.           },
  25321.           "connections": {
  25322.             "CLK": [ 2 ],
  25323.             "DI": [ 140 ],
  25324.             "LSR": [ 21 ],
  25325.             "Q": [ 320 ]
  25326.           }
  25327.         },
  25328.         "$auto$simplemap.cc:420:simplemap_dff$887": {
  25329.           "hide_name": 1,
  25330.           "type": "TRELLIS_FF",
  25331.           "parameters": {
  25332.             "CEMUX": "1",
  25333.             "CLKMUX": "CLK",
  25334.             "GSR": "DISABLED",
  25335.             "LSRMUX": "LSR",
  25336.             "REGSET": "SET",
  25337.             "SRMODE": "LSR_OVER_CE"
  25338.           },
  25339.           "attributes": {
  25340.             "module_not_derived": 1,
  25341.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25342.           },
  25343.           "port_directions": {
  25344.             "CLK": "input",
  25345.             "DI": "input",
  25346.             "LSR": "input",
  25347.             "Q": "output"
  25348.           },
  25349.           "connections": {
  25350.             "CLK": [ 2 ],
  25351.             "DI": [ 141 ],
  25352.             "LSR": [ 21 ],
  25353.             "Q": [ 321 ]
  25354.           }
  25355.         },
  25356.         "$auto$simplemap.cc:420:simplemap_dff$888": {
  25357.           "hide_name": 1,
  25358.           "type": "TRELLIS_FF",
  25359.           "parameters": {
  25360.             "CEMUX": "1",
  25361.             "CLKMUX": "CLK",
  25362.             "GSR": "DISABLED",
  25363.             "LSRMUX": "LSR",
  25364.             "REGSET": "SET",
  25365.             "SRMODE": "LSR_OVER_CE"
  25366.           },
  25367.           "attributes": {
  25368.             "module_not_derived": 1,
  25369.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25370.           },
  25371.           "port_directions": {
  25372.             "CLK": "input",
  25373.             "DI": "input",
  25374.             "LSR": "input",
  25375.             "Q": "output"
  25376.           },
  25377.           "connections": {
  25378.             "CLK": [ 2 ],
  25379.             "DI": [ 142 ],
  25380.             "LSR": [ 21 ],
  25381.             "Q": [ 325 ]
  25382.           }
  25383.         },
  25384.         "$auto$simplemap.cc:420:simplemap_dff$889": {
  25385.           "hide_name": 1,
  25386.           "type": "TRELLIS_FF",
  25387.           "parameters": {
  25388.             "CEMUX": "1",
  25389.             "CLKMUX": "CLK",
  25390.             "GSR": "DISABLED",
  25391.             "LSRMUX": "LSR",
  25392.             "REGSET": "SET",
  25393.             "SRMODE": "LSR_OVER_CE"
  25394.           },
  25395.           "attributes": {
  25396.             "module_not_derived": 1,
  25397.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25398.           },
  25399.           "port_directions": {
  25400.             "CLK": "input",
  25401.             "DI": "input",
  25402.             "LSR": "input",
  25403.             "Q": "output"
  25404.           },
  25405.           "connections": {
  25406.             "CLK": [ 2 ],
  25407.             "DI": [ 143 ],
  25408.             "LSR": [ 21 ],
  25409.             "Q": [ 326 ]
  25410.           }
  25411.         },
  25412.         "$auto$simplemap.cc:420:simplemap_dff$890": {
  25413.           "hide_name": 1,
  25414.           "type": "TRELLIS_FF",
  25415.           "parameters": {
  25416.             "CEMUX": "1",
  25417.             "CLKMUX": "CLK",
  25418.             "GSR": "DISABLED",
  25419.             "LSRMUX": "LSR",
  25420.             "REGSET": "SET",
  25421.             "SRMODE": "LSR_OVER_CE"
  25422.           },
  25423.           "attributes": {
  25424.             "module_not_derived": 1,
  25425.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25426.           },
  25427.           "port_directions": {
  25428.             "CLK": "input",
  25429.             "DI": "input",
  25430.             "LSR": "input",
  25431.             "Q": "output"
  25432.           },
  25433.           "connections": {
  25434.             "CLK": [ 2 ],
  25435.             "DI": [ 144 ],
  25436.             "LSR": [ 21 ],
  25437.             "Q": [ 330 ]
  25438.           }
  25439.         },
  25440.         "$auto$simplemap.cc:420:simplemap_dff$891": {
  25441.           "hide_name": 1,
  25442.           "type": "TRELLIS_FF",
  25443.           "parameters": {
  25444.             "CEMUX": "1",
  25445.             "CLKMUX": "CLK",
  25446.             "GSR": "DISABLED",
  25447.             "LSRMUX": "LSR",
  25448.             "REGSET": "SET",
  25449.             "SRMODE": "LSR_OVER_CE"
  25450.           },
  25451.           "attributes": {
  25452.             "module_not_derived": 1,
  25453.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25454.           },
  25455.           "port_directions": {
  25456.             "CLK": "input",
  25457.             "DI": "input",
  25458.             "LSR": "input",
  25459.             "Q": "output"
  25460.           },
  25461.           "connections": {
  25462.             "CLK": [ 2 ],
  25463.             "DI": [ 145 ],
  25464.             "LSR": [ 21 ],
  25465.             "Q": [ 331 ]
  25466.           }
  25467.         },
  25468.         "$auto$simplemap.cc:420:simplemap_dff$892": {
  25469.           "hide_name": 1,
  25470.           "type": "TRELLIS_FF",
  25471.           "parameters": {
  25472.             "CEMUX": "1",
  25473.             "CLKMUX": "CLK",
  25474.             "GSR": "DISABLED",
  25475.             "LSRMUX": "LSR",
  25476.             "REGSET": "SET",
  25477.             "SRMODE": "LSR_OVER_CE"
  25478.           },
  25479.           "attributes": {
  25480.             "module_not_derived": 1,
  25481.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25482.           },
  25483.           "port_directions": {
  25484.             "CLK": "input",
  25485.             "DI": "input",
  25486.             "LSR": "input",
  25487.             "Q": "output"
  25488.           },
  25489.           "connections": {
  25490.             "CLK": [ 2 ],
  25491.             "DI": [ 146 ],
  25492.             "LSR": [ 21 ],
  25493.             "Q": [ 335 ]
  25494.           }
  25495.         },
  25496.         "$auto$simplemap.cc:420:simplemap_dff$893": {
  25497.           "hide_name": 1,
  25498.           "type": "TRELLIS_FF",
  25499.           "parameters": {
  25500.             "CEMUX": "1",
  25501.             "CLKMUX": "CLK",
  25502.             "GSR": "DISABLED",
  25503.             "LSRMUX": "LSR",
  25504.             "REGSET": "SET",
  25505.             "SRMODE": "LSR_OVER_CE"
  25506.           },
  25507.           "attributes": {
  25508.             "module_not_derived": 1,
  25509.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25510.           },
  25511.           "port_directions": {
  25512.             "CLK": "input",
  25513.             "DI": "input",
  25514.             "LSR": "input",
  25515.             "Q": "output"
  25516.           },
  25517.           "connections": {
  25518.             "CLK": [ 2 ],
  25519.             "DI": [ 147 ],
  25520.             "LSR": [ 21 ],
  25521.             "Q": [ 336 ]
  25522.           }
  25523.         },
  25524.         "$auto$simplemap.cc:420:simplemap_dff$894": {
  25525.           "hide_name": 1,
  25526.           "type": "TRELLIS_FF",
  25527.           "parameters": {
  25528.             "CEMUX": "1",
  25529.             "CLKMUX": "CLK",
  25530.             "GSR": "DISABLED",
  25531.             "LSRMUX": "LSR",
  25532.             "REGSET": "RESET"
  25533.           },
  25534.           "attributes": {
  25535.             "module_not_derived": 1,
  25536.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  25537.           },
  25538.           "port_directions": {
  25539.             "CLK": "input",
  25540.             "DI": "input",
  25541.             "LSR": "input",
  25542.             "Q": "output"
  25543.           },
  25544.           "connections": {
  25545.             "CLK": [ 2 ],
  25546.             "DI": [ 265 ],
  25547.             "LSR": [ "0" ],
  25548.             "Q": [ 8 ]
  25549.           }
  25550.         },
  25551.         "$auto$simplemap.cc:420:simplemap_dff$895": {
  25552.           "hide_name": 1,
  25553.           "type": "TRELLIS_FF",
  25554.           "parameters": {
  25555.             "CEMUX": "1",
  25556.             "CLKMUX": "CLK",
  25557.             "GSR": "DISABLED",
  25558.             "LSRMUX": "LSR",
  25559.             "REGSET": "SET",
  25560.             "SRMODE": "LSR_OVER_CE"
  25561.           },
  25562.           "attributes": {
  25563.             "module_not_derived": 1,
  25564.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25565.           },
  25566.           "port_directions": {
  25567.             "CLK": "input",
  25568.             "DI": "input",
  25569.             "LSR": "input",
  25570.             "Q": "output"
  25571.           },
  25572.           "connections": {
  25573.             "CLK": [ 2 ],
  25574.             "DI": [ 148 ],
  25575.             "LSR": [ 20 ],
  25576.             "Q": [ 339 ]
  25577.           }
  25578.         },
  25579.         "$auto$simplemap.cc:420:simplemap_dff$896": {
  25580.           "hide_name": 1,
  25581.           "type": "TRELLIS_FF",
  25582.           "parameters": {
  25583.             "CEMUX": "1",
  25584.             "CLKMUX": "CLK",
  25585.             "GSR": "DISABLED",
  25586.             "LSRMUX": "LSR",
  25587.             "REGSET": "SET",
  25588.             "SRMODE": "LSR_OVER_CE"
  25589.           },
  25590.           "attributes": {
  25591.             "module_not_derived": 1,
  25592.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25593.           },
  25594.           "port_directions": {
  25595.             "CLK": "input",
  25596.             "DI": "input",
  25597.             "LSR": "input",
  25598.             "Q": "output"
  25599.           },
  25600.           "connections": {
  25601.             "CLK": [ 2 ],
  25602.             "DI": [ 149 ],
  25603.             "LSR": [ 20 ],
  25604.             "Q": [ 340 ]
  25605.           }
  25606.         },
  25607.         "$auto$simplemap.cc:420:simplemap_dff$897": {
  25608.           "hide_name": 1,
  25609.           "type": "TRELLIS_FF",
  25610.           "parameters": {
  25611.             "CEMUX": "1",
  25612.             "CLKMUX": "CLK",
  25613.             "GSR": "DISABLED",
  25614.             "LSRMUX": "LSR",
  25615.             "REGSET": "SET",
  25616.             "SRMODE": "LSR_OVER_CE"
  25617.           },
  25618.           "attributes": {
  25619.             "module_not_derived": 1,
  25620.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25621.           },
  25622.           "port_directions": {
  25623.             "CLK": "input",
  25624.             "DI": "input",
  25625.             "LSR": "input",
  25626.             "Q": "output"
  25627.           },
  25628.           "connections": {
  25629.             "CLK": [ 2 ],
  25630.             "DI": [ 150 ],
  25631.             "LSR": [ 20 ],
  25632.             "Q": [ 344 ]
  25633.           }
  25634.         },
  25635.         "$auto$simplemap.cc:420:simplemap_dff$898": {
  25636.           "hide_name": 1,
  25637.           "type": "TRELLIS_FF",
  25638.           "parameters": {
  25639.             "CEMUX": "1",
  25640.             "CLKMUX": "CLK",
  25641.             "GSR": "DISABLED",
  25642.             "LSRMUX": "LSR",
  25643.             "REGSET": "SET",
  25644.             "SRMODE": "LSR_OVER_CE"
  25645.           },
  25646.           "attributes": {
  25647.             "module_not_derived": 1,
  25648.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25649.           },
  25650.           "port_directions": {
  25651.             "CLK": "input",
  25652.             "DI": "input",
  25653.             "LSR": "input",
  25654.             "Q": "output"
  25655.           },
  25656.           "connections": {
  25657.             "CLK": [ 2 ],
  25658.             "DI": [ 151 ],
  25659.             "LSR": [ 20 ],
  25660.             "Q": [ 345 ]
  25661.           }
  25662.         },
  25663.         "$auto$simplemap.cc:420:simplemap_dff$899": {
  25664.           "hide_name": 1,
  25665.           "type": "TRELLIS_FF",
  25666.           "parameters": {
  25667.             "CEMUX": "1",
  25668.             "CLKMUX": "CLK",
  25669.             "GSR": "DISABLED",
  25670.             "LSRMUX": "LSR",
  25671.             "REGSET": "SET",
  25672.             "SRMODE": "LSR_OVER_CE"
  25673.           },
  25674.           "attributes": {
  25675.             "module_not_derived": 1,
  25676.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25677.           },
  25678.           "port_directions": {
  25679.             "CLK": "input",
  25680.             "DI": "input",
  25681.             "LSR": "input",
  25682.             "Q": "output"
  25683.           },
  25684.           "connections": {
  25685.             "CLK": [ 2 ],
  25686.             "DI": [ 152 ],
  25687.             "LSR": [ 20 ],
  25688.             "Q": [ 349 ]
  25689.           }
  25690.         },
  25691.         "$auto$simplemap.cc:420:simplemap_dff$900": {
  25692.           "hide_name": 1,
  25693.           "type": "TRELLIS_FF",
  25694.           "parameters": {
  25695.             "CEMUX": "1",
  25696.             "CLKMUX": "CLK",
  25697.             "GSR": "DISABLED",
  25698.             "LSRMUX": "LSR",
  25699.             "REGSET": "SET",
  25700.             "SRMODE": "LSR_OVER_CE"
  25701.           },
  25702.           "attributes": {
  25703.             "module_not_derived": 1,
  25704.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25705.           },
  25706.           "port_directions": {
  25707.             "CLK": "input",
  25708.             "DI": "input",
  25709.             "LSR": "input",
  25710.             "Q": "output"
  25711.           },
  25712.           "connections": {
  25713.             "CLK": [ 2 ],
  25714.             "DI": [ 153 ],
  25715.             "LSR": [ 20 ],
  25716.             "Q": [ 350 ]
  25717.           }
  25718.         },
  25719.         "$auto$simplemap.cc:420:simplemap_dff$901": {
  25720.           "hide_name": 1,
  25721.           "type": "TRELLIS_FF",
  25722.           "parameters": {
  25723.             "CEMUX": "1",
  25724.             "CLKMUX": "CLK",
  25725.             "GSR": "DISABLED",
  25726.             "LSRMUX": "LSR",
  25727.             "REGSET": "SET",
  25728.             "SRMODE": "LSR_OVER_CE"
  25729.           },
  25730.           "attributes": {
  25731.             "module_not_derived": 1,
  25732.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25733.           },
  25734.           "port_directions": {
  25735.             "CLK": "input",
  25736.             "DI": "input",
  25737.             "LSR": "input",
  25738.             "Q": "output"
  25739.           },
  25740.           "connections": {
  25741.             "CLK": [ 2 ],
  25742.             "DI": [ 154 ],
  25743.             "LSR": [ 20 ],
  25744.             "Q": [ 354 ]
  25745.           }
  25746.         },
  25747.         "$auto$simplemap.cc:420:simplemap_dff$902": {
  25748.           "hide_name": 1,
  25749.           "type": "TRELLIS_FF",
  25750.           "parameters": {
  25751.             "CEMUX": "1",
  25752.             "CLKMUX": "CLK",
  25753.             "GSR": "DISABLED",
  25754.             "LSRMUX": "LSR",
  25755.             "REGSET": "SET",
  25756.             "SRMODE": "LSR_OVER_CE"
  25757.           },
  25758.           "attributes": {
  25759.             "module_not_derived": 1,
  25760.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25761.           },
  25762.           "port_directions": {
  25763.             "CLK": "input",
  25764.             "DI": "input",
  25765.             "LSR": "input",
  25766.             "Q": "output"
  25767.           },
  25768.           "connections": {
  25769.             "CLK": [ 2 ],
  25770.             "DI": [ 155 ],
  25771.             "LSR": [ 20 ],
  25772.             "Q": [ 355 ]
  25773.           }
  25774.         },
  25775.         "$auto$simplemap.cc:420:simplemap_dff$903": {
  25776.           "hide_name": 1,
  25777.           "type": "TRELLIS_FF",
  25778.           "parameters": {
  25779.             "CEMUX": "1",
  25780.             "CLKMUX": "CLK",
  25781.             "GSR": "DISABLED",
  25782.             "LSRMUX": "LSR",
  25783.             "REGSET": "SET",
  25784.             "SRMODE": "LSR_OVER_CE"
  25785.           },
  25786.           "attributes": {
  25787.             "module_not_derived": 1,
  25788.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25789.           },
  25790.           "port_directions": {
  25791.             "CLK": "input",
  25792.             "DI": "input",
  25793.             "LSR": "input",
  25794.             "Q": "output"
  25795.           },
  25796.           "connections": {
  25797.             "CLK": [ 2 ],
  25798.             "DI": [ 156 ],
  25799.             "LSR": [ 20 ],
  25800.             "Q": [ 359 ]
  25801.           }
  25802.         },
  25803.         "$auto$simplemap.cc:420:simplemap_dff$904": {
  25804.           "hide_name": 1,
  25805.           "type": "TRELLIS_FF",
  25806.           "parameters": {
  25807.             "CEMUX": "1",
  25808.             "CLKMUX": "CLK",
  25809.             "GSR": "DISABLED",
  25810.             "LSRMUX": "LSR",
  25811.             "REGSET": "SET",
  25812.             "SRMODE": "LSR_OVER_CE"
  25813.           },
  25814.           "attributes": {
  25815.             "module_not_derived": 1,
  25816.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25817.           },
  25818.           "port_directions": {
  25819.             "CLK": "input",
  25820.             "DI": "input",
  25821.             "LSR": "input",
  25822.             "Q": "output"
  25823.           },
  25824.           "connections": {
  25825.             "CLK": [ 2 ],
  25826.             "DI": [ 157 ],
  25827.             "LSR": [ 20 ],
  25828.             "Q": [ 360 ]
  25829.           }
  25830.         },
  25831.         "$auto$simplemap.cc:420:simplemap_dff$905": {
  25832.           "hide_name": 1,
  25833.           "type": "TRELLIS_FF",
  25834.           "parameters": {
  25835.             "CEMUX": "1",
  25836.             "CLKMUX": "CLK",
  25837.             "GSR": "DISABLED",
  25838.             "LSRMUX": "LSR",
  25839.             "REGSET": "RESET"
  25840.           },
  25841.           "attributes": {
  25842.             "module_not_derived": 1,
  25843.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  25844.           },
  25845.           "port_directions": {
  25846.             "CLK": "input",
  25847.             "DI": "input",
  25848.             "LSR": "input",
  25849.             "Q": "output"
  25850.           },
  25851.           "connections": {
  25852.             "CLK": [ 2 ],
  25853.             "DI": [ 257 ],
  25854.             "LSR": [ "0" ],
  25855.             "Q": [ 7 ]
  25856.           }
  25857.         },
  25858.         "$auto$simplemap.cc:420:simplemap_dff$906": {
  25859.           "hide_name": 1,
  25860.           "type": "TRELLIS_FF",
  25861.           "parameters": {
  25862.             "CEMUX": "1",
  25863.             "CLKMUX": "CLK",
  25864.             "GSR": "DISABLED",
  25865.             "LSRMUX": "LSR",
  25866.             "REGSET": "SET",
  25867.             "SRMODE": "LSR_OVER_CE"
  25868.           },
  25869.           "attributes": {
  25870.             "module_not_derived": 1,
  25871.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25872.           },
  25873.           "port_directions": {
  25874.             "CLK": "input",
  25875.             "DI": "input",
  25876.             "LSR": "input",
  25877.             "Q": "output"
  25878.           },
  25879.           "connections": {
  25880.             "CLK": [ 2 ],
  25881.             "DI": [ 158 ],
  25882.             "LSR": [ 19 ],
  25883.             "Q": [ 363 ]
  25884.           }
  25885.         },
  25886.         "$auto$simplemap.cc:420:simplemap_dff$907": {
  25887.           "hide_name": 1,
  25888.           "type": "TRELLIS_FF",
  25889.           "parameters": {
  25890.             "CEMUX": "1",
  25891.             "CLKMUX": "CLK",
  25892.             "GSR": "DISABLED",
  25893.             "LSRMUX": "LSR",
  25894.             "REGSET": "SET",
  25895.             "SRMODE": "LSR_OVER_CE"
  25896.           },
  25897.           "attributes": {
  25898.             "module_not_derived": 1,
  25899.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25900.           },
  25901.           "port_directions": {
  25902.             "CLK": "input",
  25903.             "DI": "input",
  25904.             "LSR": "input",
  25905.             "Q": "output"
  25906.           },
  25907.           "connections": {
  25908.             "CLK": [ 2 ],
  25909.             "DI": [ 159 ],
  25910.             "LSR": [ 19 ],
  25911.             "Q": [ 364 ]
  25912.           }
  25913.         },
  25914.         "$auto$simplemap.cc:420:simplemap_dff$908": {
  25915.           "hide_name": 1,
  25916.           "type": "TRELLIS_FF",
  25917.           "parameters": {
  25918.             "CEMUX": "1",
  25919.             "CLKMUX": "CLK",
  25920.             "GSR": "DISABLED",
  25921.             "LSRMUX": "LSR",
  25922.             "REGSET": "SET",
  25923.             "SRMODE": "LSR_OVER_CE"
  25924.           },
  25925.           "attributes": {
  25926.             "module_not_derived": 1,
  25927.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25928.           },
  25929.           "port_directions": {
  25930.             "CLK": "input",
  25931.             "DI": "input",
  25932.             "LSR": "input",
  25933.             "Q": "output"
  25934.           },
  25935.           "connections": {
  25936.             "CLK": [ 2 ],
  25937.             "DI": [ 160 ],
  25938.             "LSR": [ 19 ],
  25939.             "Q": [ 368 ]
  25940.           }
  25941.         },
  25942.         "$auto$simplemap.cc:420:simplemap_dff$909": {
  25943.           "hide_name": 1,
  25944.           "type": "TRELLIS_FF",
  25945.           "parameters": {
  25946.             "CEMUX": "1",
  25947.             "CLKMUX": "CLK",
  25948.             "GSR": "DISABLED",
  25949.             "LSRMUX": "LSR",
  25950.             "REGSET": "SET",
  25951.             "SRMODE": "LSR_OVER_CE"
  25952.           },
  25953.           "attributes": {
  25954.             "module_not_derived": 1,
  25955.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25956.           },
  25957.           "port_directions": {
  25958.             "CLK": "input",
  25959.             "DI": "input",
  25960.             "LSR": "input",
  25961.             "Q": "output"
  25962.           },
  25963.           "connections": {
  25964.             "CLK": [ 2 ],
  25965.             "DI": [ 161 ],
  25966.             "LSR": [ 19 ],
  25967.             "Q": [ 369 ]
  25968.           }
  25969.         },
  25970.         "$auto$simplemap.cc:420:simplemap_dff$910": {
  25971.           "hide_name": 1,
  25972.           "type": "TRELLIS_FF",
  25973.           "parameters": {
  25974.             "CEMUX": "1",
  25975.             "CLKMUX": "CLK",
  25976.             "GSR": "DISABLED",
  25977.             "LSRMUX": "LSR",
  25978.             "REGSET": "SET",
  25979.             "SRMODE": "LSR_OVER_CE"
  25980.           },
  25981.           "attributes": {
  25982.             "module_not_derived": 1,
  25983.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  25984.           },
  25985.           "port_directions": {
  25986.             "CLK": "input",
  25987.             "DI": "input",
  25988.             "LSR": "input",
  25989.             "Q": "output"
  25990.           },
  25991.           "connections": {
  25992.             "CLK": [ 2 ],
  25993.             "DI": [ 162 ],
  25994.             "LSR": [ 19 ],
  25995.             "Q": [ 373 ]
  25996.           }
  25997.         },
  25998.         "$auto$simplemap.cc:420:simplemap_dff$911": {
  25999.           "hide_name": 1,
  26000.           "type": "TRELLIS_FF",
  26001.           "parameters": {
  26002.             "CEMUX": "1",
  26003.             "CLKMUX": "CLK",
  26004.             "GSR": "DISABLED",
  26005.             "LSRMUX": "LSR",
  26006.             "REGSET": "SET",
  26007.             "SRMODE": "LSR_OVER_CE"
  26008.           },
  26009.           "attributes": {
  26010.             "module_not_derived": 1,
  26011.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26012.           },
  26013.           "port_directions": {
  26014.             "CLK": "input",
  26015.             "DI": "input",
  26016.             "LSR": "input",
  26017.             "Q": "output"
  26018.           },
  26019.           "connections": {
  26020.             "CLK": [ 2 ],
  26021.             "DI": [ 163 ],
  26022.             "LSR": [ 19 ],
  26023.             "Q": [ 374 ]
  26024.           }
  26025.         },
  26026.         "$auto$simplemap.cc:420:simplemap_dff$912": {
  26027.           "hide_name": 1,
  26028.           "type": "TRELLIS_FF",
  26029.           "parameters": {
  26030.             "CEMUX": "1",
  26031.             "CLKMUX": "CLK",
  26032.             "GSR": "DISABLED",
  26033.             "LSRMUX": "LSR",
  26034.             "REGSET": "SET",
  26035.             "SRMODE": "LSR_OVER_CE"
  26036.           },
  26037.           "attributes": {
  26038.             "module_not_derived": 1,
  26039.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26040.           },
  26041.           "port_directions": {
  26042.             "CLK": "input",
  26043.             "DI": "input",
  26044.             "LSR": "input",
  26045.             "Q": "output"
  26046.           },
  26047.           "connections": {
  26048.             "CLK": [ 2 ],
  26049.             "DI": [ 164 ],
  26050.             "LSR": [ 19 ],
  26051.             "Q": [ 378 ]
  26052.           }
  26053.         },
  26054.         "$auto$simplemap.cc:420:simplemap_dff$913": {
  26055.           "hide_name": 1,
  26056.           "type": "TRELLIS_FF",
  26057.           "parameters": {
  26058.             "CEMUX": "1",
  26059.             "CLKMUX": "CLK",
  26060.             "GSR": "DISABLED",
  26061.             "LSRMUX": "LSR",
  26062.             "REGSET": "SET",
  26063.             "SRMODE": "LSR_OVER_CE"
  26064.           },
  26065.           "attributes": {
  26066.             "module_not_derived": 1,
  26067.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26068.           },
  26069.           "port_directions": {
  26070.             "CLK": "input",
  26071.             "DI": "input",
  26072.             "LSR": "input",
  26073.             "Q": "output"
  26074.           },
  26075.           "connections": {
  26076.             "CLK": [ 2 ],
  26077.             "DI": [ 165 ],
  26078.             "LSR": [ 19 ],
  26079.             "Q": [ 379 ]
  26080.           }
  26081.         },
  26082.         "$auto$simplemap.cc:420:simplemap_dff$914": {
  26083.           "hide_name": 1,
  26084.           "type": "TRELLIS_FF",
  26085.           "parameters": {
  26086.             "CEMUX": "1",
  26087.             "CLKMUX": "CLK",
  26088.             "GSR": "DISABLED",
  26089.             "LSRMUX": "LSR",
  26090.             "REGSET": "SET",
  26091.             "SRMODE": "LSR_OVER_CE"
  26092.           },
  26093.           "attributes": {
  26094.             "module_not_derived": 1,
  26095.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26096.           },
  26097.           "port_directions": {
  26098.             "CLK": "input",
  26099.             "DI": "input",
  26100.             "LSR": "input",
  26101.             "Q": "output"
  26102.           },
  26103.           "connections": {
  26104.             "CLK": [ 2 ],
  26105.             "DI": [ 166 ],
  26106.             "LSR": [ 19 ],
  26107.             "Q": [ 383 ]
  26108.           }
  26109.         },
  26110.         "$auto$simplemap.cc:420:simplemap_dff$915": {
  26111.           "hide_name": 1,
  26112.           "type": "TRELLIS_FF",
  26113.           "parameters": {
  26114.             "CEMUX": "1",
  26115.             "CLKMUX": "CLK",
  26116.             "GSR": "DISABLED",
  26117.             "LSRMUX": "LSR",
  26118.             "REGSET": "SET",
  26119.             "SRMODE": "LSR_OVER_CE"
  26120.           },
  26121.           "attributes": {
  26122.             "module_not_derived": 1,
  26123.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26124.           },
  26125.           "port_directions": {
  26126.             "CLK": "input",
  26127.             "DI": "input",
  26128.             "LSR": "input",
  26129.             "Q": "output"
  26130.           },
  26131.           "connections": {
  26132.             "CLK": [ 2 ],
  26133.             "DI": [ 167 ],
  26134.             "LSR": [ 19 ],
  26135.             "Q": [ 384 ]
  26136.           }
  26137.         },
  26138.         "$auto$simplemap.cc:420:simplemap_dff$916": {
  26139.           "hide_name": 1,
  26140.           "type": "TRELLIS_FF",
  26141.           "parameters": {
  26142.             "CEMUX": "1",
  26143.             "CLKMUX": "CLK",
  26144.             "GSR": "DISABLED",
  26145.             "LSRMUX": "LSR",
  26146.             "REGSET": "RESET"
  26147.           },
  26148.           "attributes": {
  26149.             "module_not_derived": 1,
  26150.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  26151.           },
  26152.           "port_directions": {
  26153.             "CLK": "input",
  26154.             "DI": "input",
  26155.             "LSR": "input",
  26156.             "Q": "output"
  26157.           },
  26158.           "connections": {
  26159.             "CLK": [ 2 ],
  26160.             "DI": [ 261 ],
  26161.             "LSR": [ "0" ],
  26162.             "Q": [ 6 ]
  26163.           }
  26164.         },
  26165.         "$auto$simplemap.cc:420:simplemap_dff$917": {
  26166.           "hide_name": 1,
  26167.           "type": "TRELLIS_FF",
  26168.           "parameters": {
  26169.             "CEMUX": "1",
  26170.             "CLKMUX": "CLK",
  26171.             "GSR": "DISABLED",
  26172.             "LSRMUX": "LSR",
  26173.             "REGSET": "SET",
  26174.             "SRMODE": "LSR_OVER_CE"
  26175.           },
  26176.           "attributes": {
  26177.             "module_not_derived": 1,
  26178.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26179.           },
  26180.           "port_directions": {
  26181.             "CLK": "input",
  26182.             "DI": "input",
  26183.             "LSR": "input",
  26184.             "Q": "output"
  26185.           },
  26186.           "connections": {
  26187.             "CLK": [ 2 ],
  26188.             "DI": [ 168 ],
  26189.             "LSR": [ 18 ],
  26190.             "Q": [ 387 ]
  26191.           }
  26192.         },
  26193.         "$auto$simplemap.cc:420:simplemap_dff$918": {
  26194.           "hide_name": 1,
  26195.           "type": "TRELLIS_FF",
  26196.           "parameters": {
  26197.             "CEMUX": "1",
  26198.             "CLKMUX": "CLK",
  26199.             "GSR": "DISABLED",
  26200.             "LSRMUX": "LSR",
  26201.             "REGSET": "SET",
  26202.             "SRMODE": "LSR_OVER_CE"
  26203.           },
  26204.           "attributes": {
  26205.             "module_not_derived": 1,
  26206.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26207.           },
  26208.           "port_directions": {
  26209.             "CLK": "input",
  26210.             "DI": "input",
  26211.             "LSR": "input",
  26212.             "Q": "output"
  26213.           },
  26214.           "connections": {
  26215.             "CLK": [ 2 ],
  26216.             "DI": [ 169 ],
  26217.             "LSR": [ 18 ],
  26218.             "Q": [ 388 ]
  26219.           }
  26220.         },
  26221.         "$auto$simplemap.cc:420:simplemap_dff$919": {
  26222.           "hide_name": 1,
  26223.           "type": "TRELLIS_FF",
  26224.           "parameters": {
  26225.             "CEMUX": "1",
  26226.             "CLKMUX": "CLK",
  26227.             "GSR": "DISABLED",
  26228.             "LSRMUX": "LSR",
  26229.             "REGSET": "SET",
  26230.             "SRMODE": "LSR_OVER_CE"
  26231.           },
  26232.           "attributes": {
  26233.             "module_not_derived": 1,
  26234.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26235.           },
  26236.           "port_directions": {
  26237.             "CLK": "input",
  26238.             "DI": "input",
  26239.             "LSR": "input",
  26240.             "Q": "output"
  26241.           },
  26242.           "connections": {
  26243.             "CLK": [ 2 ],
  26244.             "DI": [ 170 ],
  26245.             "LSR": [ 18 ],
  26246.             "Q": [ 392 ]
  26247.           }
  26248.         },
  26249.         "$auto$simplemap.cc:420:simplemap_dff$920": {
  26250.           "hide_name": 1,
  26251.           "type": "TRELLIS_FF",
  26252.           "parameters": {
  26253.             "CEMUX": "1",
  26254.             "CLKMUX": "CLK",
  26255.             "GSR": "DISABLED",
  26256.             "LSRMUX": "LSR",
  26257.             "REGSET": "SET",
  26258.             "SRMODE": "LSR_OVER_CE"
  26259.           },
  26260.           "attributes": {
  26261.             "module_not_derived": 1,
  26262.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26263.           },
  26264.           "port_directions": {
  26265.             "CLK": "input",
  26266.             "DI": "input",
  26267.             "LSR": "input",
  26268.             "Q": "output"
  26269.           },
  26270.           "connections": {
  26271.             "CLK": [ 2 ],
  26272.             "DI": [ 171 ],
  26273.             "LSR": [ 18 ],
  26274.             "Q": [ 393 ]
  26275.           }
  26276.         },
  26277.         "$auto$simplemap.cc:420:simplemap_dff$921": {
  26278.           "hide_name": 1,
  26279.           "type": "TRELLIS_FF",
  26280.           "parameters": {
  26281.             "CEMUX": "1",
  26282.             "CLKMUX": "CLK",
  26283.             "GSR": "DISABLED",
  26284.             "LSRMUX": "LSR",
  26285.             "REGSET": "SET",
  26286.             "SRMODE": "LSR_OVER_CE"
  26287.           },
  26288.           "attributes": {
  26289.             "module_not_derived": 1,
  26290.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26291.           },
  26292.           "port_directions": {
  26293.             "CLK": "input",
  26294.             "DI": "input",
  26295.             "LSR": "input",
  26296.             "Q": "output"
  26297.           },
  26298.           "connections": {
  26299.             "CLK": [ 2 ],
  26300.             "DI": [ 172 ],
  26301.             "LSR": [ 18 ],
  26302.             "Q": [ 397 ]
  26303.           }
  26304.         },
  26305.         "$auto$simplemap.cc:420:simplemap_dff$922": {
  26306.           "hide_name": 1,
  26307.           "type": "TRELLIS_FF",
  26308.           "parameters": {
  26309.             "CEMUX": "1",
  26310.             "CLKMUX": "CLK",
  26311.             "GSR": "DISABLED",
  26312.             "LSRMUX": "LSR",
  26313.             "REGSET": "SET",
  26314.             "SRMODE": "LSR_OVER_CE"
  26315.           },
  26316.           "attributes": {
  26317.             "module_not_derived": 1,
  26318.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26319.           },
  26320.           "port_directions": {
  26321.             "CLK": "input",
  26322.             "DI": "input",
  26323.             "LSR": "input",
  26324.             "Q": "output"
  26325.           },
  26326.           "connections": {
  26327.             "CLK": [ 2 ],
  26328.             "DI": [ 173 ],
  26329.             "LSR": [ 18 ],
  26330.             "Q": [ 398 ]
  26331.           }
  26332.         },
  26333.         "$auto$simplemap.cc:420:simplemap_dff$923": {
  26334.           "hide_name": 1,
  26335.           "type": "TRELLIS_FF",
  26336.           "parameters": {
  26337.             "CEMUX": "1",
  26338.             "CLKMUX": "CLK",
  26339.             "GSR": "DISABLED",
  26340.             "LSRMUX": "LSR",
  26341.             "REGSET": "SET",
  26342.             "SRMODE": "LSR_OVER_CE"
  26343.           },
  26344.           "attributes": {
  26345.             "module_not_derived": 1,
  26346.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26347.           },
  26348.           "port_directions": {
  26349.             "CLK": "input",
  26350.             "DI": "input",
  26351.             "LSR": "input",
  26352.             "Q": "output"
  26353.           },
  26354.           "connections": {
  26355.             "CLK": [ 2 ],
  26356.             "DI": [ 174 ],
  26357.             "LSR": [ 18 ],
  26358.             "Q": [ 402 ]
  26359.           }
  26360.         },
  26361.         "$auto$simplemap.cc:420:simplemap_dff$924": {
  26362.           "hide_name": 1,
  26363.           "type": "TRELLIS_FF",
  26364.           "parameters": {
  26365.             "CEMUX": "1",
  26366.             "CLKMUX": "CLK",
  26367.             "GSR": "DISABLED",
  26368.             "LSRMUX": "LSR",
  26369.             "REGSET": "SET",
  26370.             "SRMODE": "LSR_OVER_CE"
  26371.           },
  26372.           "attributes": {
  26373.             "module_not_derived": 1,
  26374.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26375.           },
  26376.           "port_directions": {
  26377.             "CLK": "input",
  26378.             "DI": "input",
  26379.             "LSR": "input",
  26380.             "Q": "output"
  26381.           },
  26382.           "connections": {
  26383.             "CLK": [ 2 ],
  26384.             "DI": [ 175 ],
  26385.             "LSR": [ 18 ],
  26386.             "Q": [ 403 ]
  26387.           }
  26388.         },
  26389.         "$auto$simplemap.cc:420:simplemap_dff$925": {
  26390.           "hide_name": 1,
  26391.           "type": "TRELLIS_FF",
  26392.           "parameters": {
  26393.             "CEMUX": "1",
  26394.             "CLKMUX": "CLK",
  26395.             "GSR": "DISABLED",
  26396.             "LSRMUX": "LSR",
  26397.             "REGSET": "SET",
  26398.             "SRMODE": "LSR_OVER_CE"
  26399.           },
  26400.           "attributes": {
  26401.             "module_not_derived": 1,
  26402.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26403.           },
  26404.           "port_directions": {
  26405.             "CLK": "input",
  26406.             "DI": "input",
  26407.             "LSR": "input",
  26408.             "Q": "output"
  26409.           },
  26410.           "connections": {
  26411.             "CLK": [ 2 ],
  26412.             "DI": [ 176 ],
  26413.             "LSR": [ 18 ],
  26414.             "Q": [ 407 ]
  26415.           }
  26416.         },
  26417.         "$auto$simplemap.cc:420:simplemap_dff$926": {
  26418.           "hide_name": 1,
  26419.           "type": "TRELLIS_FF",
  26420.           "parameters": {
  26421.             "CEMUX": "1",
  26422.             "CLKMUX": "CLK",
  26423.             "GSR": "DISABLED",
  26424.             "LSRMUX": "LSR",
  26425.             "REGSET": "SET",
  26426.             "SRMODE": "LSR_OVER_CE"
  26427.           },
  26428.           "attributes": {
  26429.             "module_not_derived": 1,
  26430.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26431.           },
  26432.           "port_directions": {
  26433.             "CLK": "input",
  26434.             "DI": "input",
  26435.             "LSR": "input",
  26436.             "Q": "output"
  26437.           },
  26438.           "connections": {
  26439.             "CLK": [ 2 ],
  26440.             "DI": [ 177 ],
  26441.             "LSR": [ 18 ],
  26442.             "Q": [ 408 ]
  26443.           }
  26444.         },
  26445.         "$auto$simplemap.cc:420:simplemap_dff$927": {
  26446.           "hide_name": 1,
  26447.           "type": "TRELLIS_FF",
  26448.           "parameters": {
  26449.             "CEMUX": "1",
  26450.             "CLKMUX": "CLK",
  26451.             "GSR": "DISABLED",
  26452.             "LSRMUX": "LSR",
  26453.             "REGSET": "RESET"
  26454.           },
  26455.           "attributes": {
  26456.             "module_not_derived": 1,
  26457.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  26458.           },
  26459.           "port_directions": {
  26460.             "CLK": "input",
  26461.             "DI": "input",
  26462.             "LSR": "input",
  26463.             "Q": "output"
  26464.           },
  26465.           "connections": {
  26466.             "CLK": [ 2 ],
  26467.             "DI": [ 255 ],
  26468.             "LSR": [ "0" ],
  26469.             "Q": [ 5 ]
  26470.           }
  26471.         },
  26472.         "$auto$simplemap.cc:420:simplemap_dff$928": {
  26473.           "hide_name": 1,
  26474.           "type": "TRELLIS_FF",
  26475.           "parameters": {
  26476.             "CEMUX": "1",
  26477.             "CLKMUX": "CLK",
  26478.             "GSR": "DISABLED",
  26479.             "LSRMUX": "LSR",
  26480.             "REGSET": "SET",
  26481.             "SRMODE": "LSR_OVER_CE"
  26482.           },
  26483.           "attributes": {
  26484.             "module_not_derived": 1,
  26485.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26486.           },
  26487.           "port_directions": {
  26488.             "CLK": "input",
  26489.             "DI": "input",
  26490.             "LSR": "input",
  26491.             "Q": "output"
  26492.           },
  26493.           "connections": {
  26494.             "CLK": [ 2 ],
  26495.             "DI": [ 178 ],
  26496.             "LSR": [ 17 ],
  26497.             "Q": [ 411 ]
  26498.           }
  26499.         },
  26500.         "$auto$simplemap.cc:420:simplemap_dff$929": {
  26501.           "hide_name": 1,
  26502.           "type": "TRELLIS_FF",
  26503.           "parameters": {
  26504.             "CEMUX": "1",
  26505.             "CLKMUX": "CLK",
  26506.             "GSR": "DISABLED",
  26507.             "LSRMUX": "LSR",
  26508.             "REGSET": "SET",
  26509.             "SRMODE": "LSR_OVER_CE"
  26510.           },
  26511.           "attributes": {
  26512.             "module_not_derived": 1,
  26513.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26514.           },
  26515.           "port_directions": {
  26516.             "CLK": "input",
  26517.             "DI": "input",
  26518.             "LSR": "input",
  26519.             "Q": "output"
  26520.           },
  26521.           "connections": {
  26522.             "CLK": [ 2 ],
  26523.             "DI": [ 179 ],
  26524.             "LSR": [ 17 ],
  26525.             "Q": [ 412 ]
  26526.           }
  26527.         },
  26528.         "$auto$simplemap.cc:420:simplemap_dff$930": {
  26529.           "hide_name": 1,
  26530.           "type": "TRELLIS_FF",
  26531.           "parameters": {
  26532.             "CEMUX": "1",
  26533.             "CLKMUX": "CLK",
  26534.             "GSR": "DISABLED",
  26535.             "LSRMUX": "LSR",
  26536.             "REGSET": "SET",
  26537.             "SRMODE": "LSR_OVER_CE"
  26538.           },
  26539.           "attributes": {
  26540.             "module_not_derived": 1,
  26541.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26542.           },
  26543.           "port_directions": {
  26544.             "CLK": "input",
  26545.             "DI": "input",
  26546.             "LSR": "input",
  26547.             "Q": "output"
  26548.           },
  26549.           "connections": {
  26550.             "CLK": [ 2 ],
  26551.             "DI": [ 180 ],
  26552.             "LSR": [ 17 ],
  26553.             "Q": [ 416 ]
  26554.           }
  26555.         },
  26556.         "$auto$simplemap.cc:420:simplemap_dff$931": {
  26557.           "hide_name": 1,
  26558.           "type": "TRELLIS_FF",
  26559.           "parameters": {
  26560.             "CEMUX": "1",
  26561.             "CLKMUX": "CLK",
  26562.             "GSR": "DISABLED",
  26563.             "LSRMUX": "LSR",
  26564.             "REGSET": "SET",
  26565.             "SRMODE": "LSR_OVER_CE"
  26566.           },
  26567.           "attributes": {
  26568.             "module_not_derived": 1,
  26569.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26570.           },
  26571.           "port_directions": {
  26572.             "CLK": "input",
  26573.             "DI": "input",
  26574.             "LSR": "input",
  26575.             "Q": "output"
  26576.           },
  26577.           "connections": {
  26578.             "CLK": [ 2 ],
  26579.             "DI": [ 181 ],
  26580.             "LSR": [ 17 ],
  26581.             "Q": [ 417 ]
  26582.           }
  26583.         },
  26584.         "$auto$simplemap.cc:420:simplemap_dff$932": {
  26585.           "hide_name": 1,
  26586.           "type": "TRELLIS_FF",
  26587.           "parameters": {
  26588.             "CEMUX": "1",
  26589.             "CLKMUX": "CLK",
  26590.             "GSR": "DISABLED",
  26591.             "LSRMUX": "LSR",
  26592.             "REGSET": "SET",
  26593.             "SRMODE": "LSR_OVER_CE"
  26594.           },
  26595.           "attributes": {
  26596.             "module_not_derived": 1,
  26597.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26598.           },
  26599.           "port_directions": {
  26600.             "CLK": "input",
  26601.             "DI": "input",
  26602.             "LSR": "input",
  26603.             "Q": "output"
  26604.           },
  26605.           "connections": {
  26606.             "CLK": [ 2 ],
  26607.             "DI": [ 182 ],
  26608.             "LSR": [ 17 ],
  26609.             "Q": [ 421 ]
  26610.           }
  26611.         },
  26612.         "$auto$simplemap.cc:420:simplemap_dff$933": {
  26613.           "hide_name": 1,
  26614.           "type": "TRELLIS_FF",
  26615.           "parameters": {
  26616.             "CEMUX": "1",
  26617.             "CLKMUX": "CLK",
  26618.             "GSR": "DISABLED",
  26619.             "LSRMUX": "LSR",
  26620.             "REGSET": "SET",
  26621.             "SRMODE": "LSR_OVER_CE"
  26622.           },
  26623.           "attributes": {
  26624.             "module_not_derived": 1,
  26625.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26626.           },
  26627.           "port_directions": {
  26628.             "CLK": "input",
  26629.             "DI": "input",
  26630.             "LSR": "input",
  26631.             "Q": "output"
  26632.           },
  26633.           "connections": {
  26634.             "CLK": [ 2 ],
  26635.             "DI": [ 183 ],
  26636.             "LSR": [ 17 ],
  26637.             "Q": [ 422 ]
  26638.           }
  26639.         },
  26640.         "$auto$simplemap.cc:420:simplemap_dff$934": {
  26641.           "hide_name": 1,
  26642.           "type": "TRELLIS_FF",
  26643.           "parameters": {
  26644.             "CEMUX": "1",
  26645.             "CLKMUX": "CLK",
  26646.             "GSR": "DISABLED",
  26647.             "LSRMUX": "LSR",
  26648.             "REGSET": "SET",
  26649.             "SRMODE": "LSR_OVER_CE"
  26650.           },
  26651.           "attributes": {
  26652.             "module_not_derived": 1,
  26653.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26654.           },
  26655.           "port_directions": {
  26656.             "CLK": "input",
  26657.             "DI": "input",
  26658.             "LSR": "input",
  26659.             "Q": "output"
  26660.           },
  26661.           "connections": {
  26662.             "CLK": [ 2 ],
  26663.             "DI": [ 184 ],
  26664.             "LSR": [ 17 ],
  26665.             "Q": [ 426 ]
  26666.           }
  26667.         },
  26668.         "$auto$simplemap.cc:420:simplemap_dff$935": {
  26669.           "hide_name": 1,
  26670.           "type": "TRELLIS_FF",
  26671.           "parameters": {
  26672.             "CEMUX": "1",
  26673.             "CLKMUX": "CLK",
  26674.             "GSR": "DISABLED",
  26675.             "LSRMUX": "LSR",
  26676.             "REGSET": "SET",
  26677.             "SRMODE": "LSR_OVER_CE"
  26678.           },
  26679.           "attributes": {
  26680.             "module_not_derived": 1,
  26681.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26682.           },
  26683.           "port_directions": {
  26684.             "CLK": "input",
  26685.             "DI": "input",
  26686.             "LSR": "input",
  26687.             "Q": "output"
  26688.           },
  26689.           "connections": {
  26690.             "CLK": [ 2 ],
  26691.             "DI": [ 185 ],
  26692.             "LSR": [ 17 ],
  26693.             "Q": [ 427 ]
  26694.           }
  26695.         },
  26696.         "$auto$simplemap.cc:420:simplemap_dff$936": {
  26697.           "hide_name": 1,
  26698.           "type": "TRELLIS_FF",
  26699.           "parameters": {
  26700.             "CEMUX": "1",
  26701.             "CLKMUX": "CLK",
  26702.             "GSR": "DISABLED",
  26703.             "LSRMUX": "LSR",
  26704.             "REGSET": "SET",
  26705.             "SRMODE": "LSR_OVER_CE"
  26706.           },
  26707.           "attributes": {
  26708.             "module_not_derived": 1,
  26709.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26710.           },
  26711.           "port_directions": {
  26712.             "CLK": "input",
  26713.             "DI": "input",
  26714.             "LSR": "input",
  26715.             "Q": "output"
  26716.           },
  26717.           "connections": {
  26718.             "CLK": [ 2 ],
  26719.             "DI": [ 186 ],
  26720.             "LSR": [ 17 ],
  26721.             "Q": [ 431 ]
  26722.           }
  26723.         },
  26724.         "$auto$simplemap.cc:420:simplemap_dff$937": {
  26725.           "hide_name": 1,
  26726.           "type": "TRELLIS_FF",
  26727.           "parameters": {
  26728.             "CEMUX": "1",
  26729.             "CLKMUX": "CLK",
  26730.             "GSR": "DISABLED",
  26731.             "LSRMUX": "LSR",
  26732.             "REGSET": "SET",
  26733.             "SRMODE": "LSR_OVER_CE"
  26734.           },
  26735.           "attributes": {
  26736.             "module_not_derived": 1,
  26737.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26738.           },
  26739.           "port_directions": {
  26740.             "CLK": "input",
  26741.             "DI": "input",
  26742.             "LSR": "input",
  26743.             "Q": "output"
  26744.           },
  26745.           "connections": {
  26746.             "CLK": [ 2 ],
  26747.             "DI": [ 187 ],
  26748.             "LSR": [ 17 ],
  26749.             "Q": [ 432 ]
  26750.           }
  26751.         },
  26752.         "$auto$simplemap.cc:420:simplemap_dff$938": {
  26753.           "hide_name": 1,
  26754.           "type": "TRELLIS_FF",
  26755.           "parameters": {
  26756.             "CEMUX": "1",
  26757.             "CLKMUX": "CLK",
  26758.             "GSR": "DISABLED",
  26759.             "LSRMUX": "LSR",
  26760.             "REGSET": "RESET"
  26761.           },
  26762.           "attributes": {
  26763.             "module_not_derived": 1,
  26764.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  26765.           },
  26766.           "port_directions": {
  26767.             "CLK": "input",
  26768.             "DI": "input",
  26769.             "LSR": "input",
  26770.             "Q": "output"
  26771.           },
  26772.           "connections": {
  26773.             "CLK": [ 2 ],
  26774.             "DI": [ 219 ],
  26775.             "LSR": [ "0" ],
  26776.             "Q": [ 4 ]
  26777.           }
  26778.         },
  26779.         "$auto$simplemap.cc:420:simplemap_dff$939": {
  26780.           "hide_name": 1,
  26781.           "type": "TRELLIS_FF",
  26782.           "parameters": {
  26783.             "CEMUX": "1",
  26784.             "CLKMUX": "CLK",
  26785.             "GSR": "DISABLED",
  26786.             "LSRMUX": "LSR",
  26787.             "REGSET": "SET",
  26788.             "SRMODE": "LSR_OVER_CE"
  26789.           },
  26790.           "attributes": {
  26791.             "module_not_derived": 1,
  26792.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26793.           },
  26794.           "port_directions": {
  26795.             "CLK": "input",
  26796.             "DI": "input",
  26797.             "LSR": "input",
  26798.             "Q": "output"
  26799.           },
  26800.           "connections": {
  26801.             "CLK": [ 2 ],
  26802.             "DI": [ 188 ],
  26803.             "LSR": [ 15 ],
  26804.             "Q": [ 235 ]
  26805.           }
  26806.         },
  26807.         "$auto$simplemap.cc:420:simplemap_dff$940": {
  26808.           "hide_name": 1,
  26809.           "type": "TRELLIS_FF",
  26810.           "parameters": {
  26811.             "CEMUX": "1",
  26812.             "CLKMUX": "CLK",
  26813.             "GSR": "DISABLED",
  26814.             "LSRMUX": "LSR",
  26815.             "REGSET": "SET",
  26816.             "SRMODE": "LSR_OVER_CE"
  26817.           },
  26818.           "attributes": {
  26819.             "module_not_derived": 1,
  26820.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26821.           },
  26822.           "port_directions": {
  26823.             "CLK": "input",
  26824.             "DI": "input",
  26825.             "LSR": "input",
  26826.             "Q": "output"
  26827.           },
  26828.           "connections": {
  26829.             "CLK": [ 2 ],
  26830.             "DI": [ 189 ],
  26831.             "LSR": [ 15 ],
  26832.             "Q": [ 224 ]
  26833.           }
  26834.         },
  26835.         "$auto$simplemap.cc:420:simplemap_dff$941": {
  26836.           "hide_name": 1,
  26837.           "type": "TRELLIS_FF",
  26838.           "parameters": {
  26839.             "CEMUX": "1",
  26840.             "CLKMUX": "CLK",
  26841.             "GSR": "DISABLED",
  26842.             "LSRMUX": "LSR",
  26843.             "REGSET": "SET",
  26844.             "SRMODE": "LSR_OVER_CE"
  26845.           },
  26846.           "attributes": {
  26847.             "module_not_derived": 1,
  26848.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26849.           },
  26850.           "port_directions": {
  26851.             "CLK": "input",
  26852.             "DI": "input",
  26853.             "LSR": "input",
  26854.             "Q": "output"
  26855.           },
  26856.           "connections": {
  26857.             "CLK": [ 2 ],
  26858.             "DI": [ 190 ],
  26859.             "LSR": [ 15 ],
  26860.             "Q": [ 223 ]
  26861.           }
  26862.         },
  26863.         "$auto$simplemap.cc:420:simplemap_dff$942": {
  26864.           "hide_name": 1,
  26865.           "type": "TRELLIS_FF",
  26866.           "parameters": {
  26867.             "CEMUX": "1",
  26868.             "CLKMUX": "CLK",
  26869.             "GSR": "DISABLED",
  26870.             "LSRMUX": "LSR",
  26871.             "REGSET": "SET",
  26872.             "SRMODE": "LSR_OVER_CE"
  26873.           },
  26874.           "attributes": {
  26875.             "module_not_derived": 1,
  26876.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26877.           },
  26878.           "port_directions": {
  26879.             "CLK": "input",
  26880.             "DI": "input",
  26881.             "LSR": "input",
  26882.             "Q": "output"
  26883.           },
  26884.           "connections": {
  26885.             "CLK": [ 2 ],
  26886.             "DI": [ 191 ],
  26887.             "LSR": [ 15 ],
  26888.             "Q": [ 221 ]
  26889.           }
  26890.         },
  26891.         "$auto$simplemap.cc:420:simplemap_dff$943": {
  26892.           "hide_name": 1,
  26893.           "type": "TRELLIS_FF",
  26894.           "parameters": {
  26895.             "CEMUX": "1",
  26896.             "CLKMUX": "CLK",
  26897.             "GSR": "DISABLED",
  26898.             "LSRMUX": "LSR",
  26899.             "REGSET": "SET",
  26900.             "SRMODE": "LSR_OVER_CE"
  26901.           },
  26902.           "attributes": {
  26903.             "module_not_derived": 1,
  26904.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26905.           },
  26906.           "port_directions": {
  26907.             "CLK": "input",
  26908.             "DI": "input",
  26909.             "LSR": "input",
  26910.             "Q": "output"
  26911.           },
  26912.           "connections": {
  26913.             "CLK": [ 2 ],
  26914.             "DI": [ 192 ],
  26915.             "LSR": [ 15 ],
  26916.             "Q": [ 247 ]
  26917.           }
  26918.         },
  26919.         "$auto$simplemap.cc:420:simplemap_dff$944": {
  26920.           "hide_name": 1,
  26921.           "type": "TRELLIS_FF",
  26922.           "parameters": {
  26923.             "CEMUX": "1",
  26924.             "CLKMUX": "CLK",
  26925.             "GSR": "DISABLED",
  26926.             "LSRMUX": "LSR",
  26927.             "REGSET": "SET",
  26928.             "SRMODE": "LSR_OVER_CE"
  26929.           },
  26930.           "attributes": {
  26931.             "module_not_derived": 1,
  26932.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26933.           },
  26934.           "port_directions": {
  26935.             "CLK": "input",
  26936.             "DI": "input",
  26937.             "LSR": "input",
  26938.             "Q": "output"
  26939.           },
  26940.           "connections": {
  26941.             "CLK": [ 2 ],
  26942.             "DI": [ 193 ],
  26943.             "LSR": [ 15 ],
  26944.             "Q": [ 226 ]
  26945.           }
  26946.         },
  26947.         "$auto$simplemap.cc:420:simplemap_dff$945": {
  26948.           "hide_name": 1,
  26949.           "type": "TRELLIS_FF",
  26950.           "parameters": {
  26951.             "CEMUX": "1",
  26952.             "CLKMUX": "CLK",
  26953.             "GSR": "DISABLED",
  26954.             "LSRMUX": "LSR",
  26955.             "REGSET": "SET",
  26956.             "SRMODE": "LSR_OVER_CE"
  26957.           },
  26958.           "attributes": {
  26959.             "module_not_derived": 1,
  26960.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26961.           },
  26962.           "port_directions": {
  26963.             "CLK": "input",
  26964.             "DI": "input",
  26965.             "LSR": "input",
  26966.             "Q": "output"
  26967.           },
  26968.           "connections": {
  26969.             "CLK": [ 2 ],
  26970.             "DI": [ 194 ],
  26971.             "LSR": [ 15 ],
  26972.             "Q": [ 249 ]
  26973.           }
  26974.         },
  26975.         "$auto$simplemap.cc:420:simplemap_dff$946": {
  26976.           "hide_name": 1,
  26977.           "type": "TRELLIS_FF",
  26978.           "parameters": {
  26979.             "CEMUX": "1",
  26980.             "CLKMUX": "CLK",
  26981.             "GSR": "DISABLED",
  26982.             "LSRMUX": "LSR",
  26983.             "REGSET": "SET",
  26984.             "SRMODE": "LSR_OVER_CE"
  26985.           },
  26986.           "attributes": {
  26987.             "module_not_derived": 1,
  26988.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  26989.           },
  26990.           "port_directions": {
  26991.             "CLK": "input",
  26992.             "DI": "input",
  26993.             "LSR": "input",
  26994.             "Q": "output"
  26995.           },
  26996.           "connections": {
  26997.             "CLK": [ 2 ],
  26998.             "DI": [ 195 ],
  26999.             "LSR": [ 15 ],
  27000.             "Q": [ 237 ]
  27001.           }
  27002.         },
  27003.         "$auto$simplemap.cc:420:simplemap_dff$947": {
  27004.           "hide_name": 1,
  27005.           "type": "TRELLIS_FF",
  27006.           "parameters": {
  27007.             "CEMUX": "1",
  27008.             "CLKMUX": "CLK",
  27009.             "GSR": "DISABLED",
  27010.             "LSRMUX": "LSR",
  27011.             "REGSET": "SET",
  27012.             "SRMODE": "LSR_OVER_CE"
  27013.           },
  27014.           "attributes": {
  27015.             "module_not_derived": 1,
  27016.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  27017.           },
  27018.           "port_directions": {
  27019.             "CLK": "input",
  27020.             "DI": "input",
  27021.             "LSR": "input",
  27022.             "Q": "output"
  27023.           },
  27024.           "connections": {
  27025.             "CLK": [ 2 ],
  27026.             "DI": [ 196 ],
  27027.             "LSR": [ 15 ],
  27028.             "Q": [ 239 ]
  27029.           }
  27030.         },
  27031.         "$auto$simplemap.cc:420:simplemap_dff$948": {
  27032.           "hide_name": 1,
  27033.           "type": "TRELLIS_FF",
  27034.           "parameters": {
  27035.             "CEMUX": "1",
  27036.             "CLKMUX": "CLK",
  27037.             "GSR": "DISABLED",
  27038.             "LSRMUX": "LSR",
  27039.             "REGSET": "SET",
  27040.             "SRMODE": "LSR_OVER_CE"
  27041.           },
  27042.           "attributes": {
  27043.             "module_not_derived": 1,
  27044.             "src": "blinky.v:24|/usr/local/bin/../share/yosys/ecp5/cells_map.v:28"
  27045.           },
  27046.           "port_directions": {
  27047.             "CLK": "input",
  27048.             "DI": "input",
  27049.             "LSR": "input",
  27050.             "Q": "output"
  27051.           },
  27052.           "connections": {
  27053.             "CLK": [ 2 ],
  27054.             "DI": [ 197 ],
  27055.             "LSR": [ 15 ],
  27056.             "Q": [ 251 ]
  27057.           }
  27058.         },
  27059.         "$auto$simplemap.cc:420:simplemap_dff$949": {
  27060.           "hide_name": 1,
  27061.           "type": "TRELLIS_FF",
  27062.           "parameters": {
  27063.             "CEMUX": "1",
  27064.             "CLKMUX": "CLK",
  27065.             "GSR": "DISABLED",
  27066.             "LSRMUX": "LSR",
  27067.             "REGSET": "RESET"
  27068.           },
  27069.           "attributes": {
  27070.             "module_not_derived": 1,
  27071.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27072.           },
  27073.           "port_directions": {
  27074.             "CLK": "input",
  27075.             "DI": "input",
  27076.             "LSR": "input",
  27077.             "Q": "output"
  27078.           },
  27079.           "connections": {
  27080.             "CLK": [ 2 ],
  27081.             "DI": [ 450 ],
  27082.             "LSR": [ "0" ],
  27083.             "Q": [ 232 ]
  27084.           }
  27085.         },
  27086.         "$auto$simplemap.cc:420:simplemap_dff$950": {
  27087.           "hide_name": 1,
  27088.           "type": "TRELLIS_FF",
  27089.           "parameters": {
  27090.             "CEMUX": "1",
  27091.             "CLKMUX": "CLK",
  27092.             "GSR": "DISABLED",
  27093.             "LSRMUX": "LSR",
  27094.             "REGSET": "RESET"
  27095.           },
  27096.           "attributes": {
  27097.             "module_not_derived": 1,
  27098.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27099.           },
  27100.           "port_directions": {
  27101.             "CLK": "input",
  27102.             "DI": "input",
  27103.             "LSR": "input",
  27104.             "Q": "output"
  27105.           },
  27106.           "connections": {
  27107.             "CLK": [ 2 ],
  27108.             "DI": [ 451 ],
  27109.             "LSR": [ "0" ],
  27110.             "Q": [ 225 ]
  27111.           }
  27112.         },
  27113.         "$auto$simplemap.cc:420:simplemap_dff$951": {
  27114.           "hide_name": 1,
  27115.           "type": "TRELLIS_FF",
  27116.           "parameters": {
  27117.             "CEMUX": "1",
  27118.             "CLKMUX": "CLK",
  27119.             "GSR": "DISABLED",
  27120.             "LSRMUX": "LSR",
  27121.             "REGSET": "RESET"
  27122.           },
  27123.           "attributes": {
  27124.             "module_not_derived": 1,
  27125.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27126.           },
  27127.           "port_directions": {
  27128.             "CLK": "input",
  27129.             "DI": "input",
  27130.             "LSR": "input",
  27131.             "Q": "output"
  27132.           },
  27133.           "connections": {
  27134.             "CLK": [ 2 ],
  27135.             "DI": [ 453 ],
  27136.             "LSR": [ "0" ],
  27137.             "Q": [ 222 ]
  27138.           }
  27139.         },
  27140.         "$auto$simplemap.cc:420:simplemap_dff$952": {
  27141.           "hide_name": 1,
  27142.           "type": "TRELLIS_FF",
  27143.           "parameters": {
  27144.             "CEMUX": "1",
  27145.             "CLKMUX": "CLK",
  27146.             "GSR": "DISABLED",
  27147.             "LSRMUX": "LSR",
  27148.             "REGSET": "RESET"
  27149.           },
  27150.           "attributes": {
  27151.             "module_not_derived": 1,
  27152.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27153.           },
  27154.           "port_directions": {
  27155.             "CLK": "input",
  27156.             "DI": "input",
  27157.             "LSR": "input",
  27158.             "Q": "output"
  27159.           },
  27160.           "connections": {
  27161.             "CLK": [ 2 ],
  27162.             "DI": [ 454 ],
  27163.             "LSR": [ "0" ],
  27164.             "Q": [ 220 ]
  27165.           }
  27166.         },
  27167.         "$auto$simplemap.cc:420:simplemap_dff$953": {
  27168.           "hide_name": 1,
  27169.           "type": "TRELLIS_FF",
  27170.           "parameters": {
  27171.             "CEMUX": "1",
  27172.             "CLKMUX": "CLK",
  27173.             "GSR": "DISABLED",
  27174.             "LSRMUX": "LSR",
  27175.             "REGSET": "RESET"
  27176.           },
  27177.           "attributes": {
  27178.             "module_not_derived": 1,
  27179.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27180.           },
  27181.           "port_directions": {
  27182.             "CLK": "input",
  27183.             "DI": "input",
  27184.             "LSR": "input",
  27185.             "Q": "output"
  27186.           },
  27187.           "connections": {
  27188.             "CLK": [ 2 ],
  27189.             "DI": [ 456 ],
  27190.             "LSR": [ "0" ],
  27191.             "Q": [ 246 ]
  27192.           }
  27193.         },
  27194.         "$auto$simplemap.cc:420:simplemap_dff$954": {
  27195.           "hide_name": 1,
  27196.           "type": "TRELLIS_FF",
  27197.           "parameters": {
  27198.             "CEMUX": "1",
  27199.             "CLKMUX": "CLK",
  27200.             "GSR": "DISABLED",
  27201.             "LSRMUX": "LSR",
  27202.             "REGSET": "RESET"
  27203.           },
  27204.           "attributes": {
  27205.             "module_not_derived": 1,
  27206.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27207.           },
  27208.           "port_directions": {
  27209.             "CLK": "input",
  27210.             "DI": "input",
  27211.             "LSR": "input",
  27212.             "Q": "output"
  27213.           },
  27214.           "connections": {
  27215.             "CLK": [ 2 ],
  27216.             "DI": [ 457 ],
  27217.             "LSR": [ "0" ],
  27218.             "Q": [ 227 ]
  27219.           }
  27220.         },
  27221.         "$auto$simplemap.cc:420:simplemap_dff$955": {
  27222.           "hide_name": 1,
  27223.           "type": "TRELLIS_FF",
  27224.           "parameters": {
  27225.             "CEMUX": "1",
  27226.             "CLKMUX": "CLK",
  27227.             "GSR": "DISABLED",
  27228.             "LSRMUX": "LSR",
  27229.             "REGSET": "RESET"
  27230.           },
  27231.           "attributes": {
  27232.             "module_not_derived": 1,
  27233.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27234.           },
  27235.           "port_directions": {
  27236.             "CLK": "input",
  27237.             "DI": "input",
  27238.             "LSR": "input",
  27239.             "Q": "output"
  27240.           },
  27241.           "connections": {
  27242.             "CLK": [ 2 ],
  27243.             "DI": [ 459 ],
  27244.             "LSR": [ "0" ],
  27245.             "Q": [ 248 ]
  27246.           }
  27247.         },
  27248.         "$auto$simplemap.cc:420:simplemap_dff$956": {
  27249.           "hide_name": 1,
  27250.           "type": "TRELLIS_FF",
  27251.           "parameters": {
  27252.             "CEMUX": "1",
  27253.             "CLKMUX": "CLK",
  27254.             "GSR": "DISABLED",
  27255.             "LSRMUX": "LSR",
  27256.             "REGSET": "RESET"
  27257.           },
  27258.           "attributes": {
  27259.             "module_not_derived": 1,
  27260.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27261.           },
  27262.           "port_directions": {
  27263.             "CLK": "input",
  27264.             "DI": "input",
  27265.             "LSR": "input",
  27266.             "Q": "output"
  27267.           },
  27268.           "connections": {
  27269.             "CLK": [ 2 ],
  27270.             "DI": [ 460 ],
  27271.             "LSR": [ "0" ],
  27272.             "Q": [ 236 ]
  27273.           }
  27274.         },
  27275.         "$auto$simplemap.cc:420:simplemap_dff$957": {
  27276.           "hide_name": 1,
  27277.           "type": "TRELLIS_FF",
  27278.           "parameters": {
  27279.             "CEMUX": "1",
  27280.             "CLKMUX": "CLK",
  27281.             "GSR": "DISABLED",
  27282.             "LSRMUX": "LSR",
  27283.             "REGSET": "RESET"
  27284.           },
  27285.           "attributes": {
  27286.             "module_not_derived": 1,
  27287.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27288.           },
  27289.           "port_directions": {
  27290.             "CLK": "input",
  27291.             "DI": "input",
  27292.             "LSR": "input",
  27293.             "Q": "output"
  27294.           },
  27295.           "connections": {
  27296.             "CLK": [ 2 ],
  27297.             "DI": [ 462 ],
  27298.             "LSR": [ "0" ],
  27299.             "Q": [ 238 ]
  27300.           }
  27301.         },
  27302.         "$auto$simplemap.cc:420:simplemap_dff$958": {
  27303.           "hide_name": 1,
  27304.           "type": "TRELLIS_FF",
  27305.           "parameters": {
  27306.             "CEMUX": "1",
  27307.             "CLKMUX": "CLK",
  27308.             "GSR": "DISABLED",
  27309.             "LSRMUX": "LSR",
  27310.             "REGSET": "RESET"
  27311.           },
  27312.           "attributes": {
  27313.             "module_not_derived": 1,
  27314.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27315.           },
  27316.           "port_directions": {
  27317.             "CLK": "input",
  27318.             "DI": "input",
  27319.             "LSR": "input",
  27320.             "Q": "output"
  27321.           },
  27322.           "connections": {
  27323.             "CLK": [ 2 ],
  27324.             "DI": [ 463 ],
  27325.             "LSR": [ "0" ],
  27326.             "Q": [ 250 ]
  27327.           }
  27328.         },
  27329.         "$auto$simplemap.cc:420:simplemap_dff$959": {
  27330.           "hide_name": 1,
  27331.           "type": "TRELLIS_FF",
  27332.           "parameters": {
  27333.             "CEMUX": "CE",
  27334.             "CLKMUX": "CLK",
  27335.             "GSR": "DISABLED",
  27336.             "LSRMUX": "LSR",
  27337.             "REGSET": "RESET",
  27338.             "SRMODE": "LSR_OVER_CE"
  27339.           },
  27340.           "attributes": {
  27341.             "module_not_derived": 1,
  27342.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:47"
  27343.           },
  27344.           "port_directions": {
  27345.             "CE": "input",
  27346.             "CLK": "input",
  27347.             "DI": "input",
  27348.             "LSR": "input",
  27349.             "Q": "output"
  27350.           },
  27351.           "connections": {
  27352.             "CE": [ 25 ],
  27353.             "CLK": [ 2 ],
  27354.             "DI": [ "1" ],
  27355.             "LSR": [ 24 ],
  27356.             "Q": [ 23 ]
  27357.           }
  27358.         },
  27359.         "$auto$simplemap.cc:420:simplemap_dff$960": {
  27360.           "hide_name": 1,
  27361.           "type": "TRELLIS_FF",
  27362.           "parameters": {
  27363.             "CEMUX": "1",
  27364.             "CLKMUX": "CLK",
  27365.             "GSR": "DISABLED",
  27366.             "LSRMUX": "LSR",
  27367.             "REGSET": "RESET"
  27368.           },
  27369.           "attributes": {
  27370.             "module_not_derived": 1,
  27371.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27372.           },
  27373.           "port_directions": {
  27374.             "CLK": "input",
  27375.             "DI": "input",
  27376.             "LSR": "input",
  27377.             "Q": "output"
  27378.           },
  27379.           "connections": {
  27380.             "CLK": [ 2 ],
  27381.             "DI": [ 28 ],
  27382.             "LSR": [ "0" ],
  27383.             "Q": [ 464 ]
  27384.           }
  27385.         },
  27386.         "$auto$simplemap.cc:420:simplemap_dff$961": {
  27387.           "hide_name": 1,
  27388.           "type": "TRELLIS_FF",
  27389.           "parameters": {
  27390.             "CEMUX": "1",
  27391.             "CLKMUX": "CLK",
  27392.             "GSR": "DISABLED",
  27393.             "LSRMUX": "LSR",
  27394.             "REGSET": "RESET"
  27395.           },
  27396.           "attributes": {
  27397.             "module_not_derived": 1,
  27398.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27399.           },
  27400.           "port_directions": {
  27401.             "CLK": "input",
  27402.             "DI": "input",
  27403.             "LSR": "input",
  27404.             "Q": "output"
  27405.           },
  27406.           "connections": {
  27407.             "CLK": [ 2 ],
  27408.             "DI": [ 31 ],
  27409.             "LSR": [ "0" ],
  27410.             "Q": [ 465 ]
  27411.           }
  27412.         },
  27413.         "$auto$simplemap.cc:420:simplemap_dff$962": {
  27414.           "hide_name": 1,
  27415.           "type": "TRELLIS_FF",
  27416.           "parameters": {
  27417.             "CEMUX": "1",
  27418.             "CLKMUX": "CLK",
  27419.             "GSR": "DISABLED",
  27420.             "LSRMUX": "LSR",
  27421.             "REGSET": "RESET"
  27422.           },
  27423.           "attributes": {
  27424.             "module_not_derived": 1,
  27425.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27426.           },
  27427.           "port_directions": {
  27428.             "CLK": "input",
  27429.             "DI": "input",
  27430.             "LSR": "input",
  27431.             "Q": "output"
  27432.           },
  27433.           "connections": {
  27434.             "CLK": [ 2 ],
  27435.             "DI": [ 34 ],
  27436.             "LSR": [ "0" ],
  27437.             "Q": [ 476 ]
  27438.           }
  27439.         },
  27440.         "$auto$simplemap.cc:420:simplemap_dff$963": {
  27441.           "hide_name": 1,
  27442.           "type": "TRELLIS_FF",
  27443.           "parameters": {
  27444.             "CEMUX": "1",
  27445.             "CLKMUX": "CLK",
  27446.             "GSR": "DISABLED",
  27447.             "LSRMUX": "LSR",
  27448.             "REGSET": "RESET"
  27449.           },
  27450.           "attributes": {
  27451.             "module_not_derived": 1,
  27452.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27453.           },
  27454.           "port_directions": {
  27455.             "CLK": "input",
  27456.             "DI": "input",
  27457.             "LSR": "input",
  27458.             "Q": "output"
  27459.           },
  27460.           "connections": {
  27461.             "CLK": [ 2 ],
  27462.             "DI": [ 37 ],
  27463.             "LSR": [ "0" ],
  27464.             "Q": [ 477 ]
  27465.           }
  27466.         },
  27467.         "$auto$simplemap.cc:420:simplemap_dff$964": {
  27468.           "hide_name": 1,
  27469.           "type": "TRELLIS_FF",
  27470.           "parameters": {
  27471.             "CEMUX": "1",
  27472.             "CLKMUX": "CLK",
  27473.             "GSR": "DISABLED",
  27474.             "LSRMUX": "LSR",
  27475.             "REGSET": "RESET"
  27476.           },
  27477.           "attributes": {
  27478.             "module_not_derived": 1,
  27479.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27480.           },
  27481.           "port_directions": {
  27482.             "CLK": "input",
  27483.             "DI": "input",
  27484.             "LSR": "input",
  27485.             "Q": "output"
  27486.           },
  27487.           "connections": {
  27488.             "CLK": [ 2 ],
  27489.             "DI": [ 40 ],
  27490.             "LSR": [ "0" ],
  27491.             "Q": [ 479 ]
  27492.           }
  27493.         },
  27494.         "$auto$simplemap.cc:420:simplemap_dff$965": {
  27495.           "hide_name": 1,
  27496.           "type": "TRELLIS_FF",
  27497.           "parameters": {
  27498.             "CEMUX": "1",
  27499.             "CLKMUX": "CLK",
  27500.             "GSR": "DISABLED",
  27501.             "LSRMUX": "LSR",
  27502.             "REGSET": "RESET"
  27503.           },
  27504.           "attributes": {
  27505.             "module_not_derived": 1,
  27506.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27507.           },
  27508.           "port_directions": {
  27509.             "CLK": "input",
  27510.             "DI": "input",
  27511.             "LSR": "input",
  27512.             "Q": "output"
  27513.           },
  27514.           "connections": {
  27515.             "CLK": [ 2 ],
  27516.             "DI": [ 43 ],
  27517.             "LSR": [ "0" ],
  27518.             "Q": [ 480 ]
  27519.           }
  27520.         },
  27521.         "$auto$simplemap.cc:420:simplemap_dff$966": {
  27522.           "hide_name": 1,
  27523.           "type": "TRELLIS_FF",
  27524.           "parameters": {
  27525.             "CEMUX": "1",
  27526.             "CLKMUX": "CLK",
  27527.             "GSR": "DISABLED",
  27528.             "LSRMUX": "LSR",
  27529.             "REGSET": "RESET"
  27530.           },
  27531.           "attributes": {
  27532.             "module_not_derived": 1,
  27533.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27534.           },
  27535.           "port_directions": {
  27536.             "CLK": "input",
  27537.             "DI": "input",
  27538.             "LSR": "input",
  27539.             "Q": "output"
  27540.           },
  27541.           "connections": {
  27542.             "CLK": [ 2 ],
  27543.             "DI": [ 46 ],
  27544.             "LSR": [ "0" ],
  27545.             "Q": [ 482 ]
  27546.           }
  27547.         },
  27548.         "$auto$simplemap.cc:420:simplemap_dff$967": {
  27549.           "hide_name": 1,
  27550.           "type": "TRELLIS_FF",
  27551.           "parameters": {
  27552.             "CEMUX": "1",
  27553.             "CLKMUX": "CLK",
  27554.             "GSR": "DISABLED",
  27555.             "LSRMUX": "LSR",
  27556.             "REGSET": "RESET"
  27557.           },
  27558.           "attributes": {
  27559.             "module_not_derived": 1,
  27560.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27561.           },
  27562.           "port_directions": {
  27563.             "CLK": "input",
  27564.             "DI": "input",
  27565.             "LSR": "input",
  27566.             "Q": "output"
  27567.           },
  27568.           "connections": {
  27569.             "CLK": [ 2 ],
  27570.             "DI": [ 49 ],
  27571.             "LSR": [ "0" ],
  27572.             "Q": [ 483 ]
  27573.           }
  27574.         },
  27575.         "$auto$simplemap.cc:420:simplemap_dff$968": {
  27576.           "hide_name": 1,
  27577.           "type": "TRELLIS_FF",
  27578.           "parameters": {
  27579.             "CEMUX": "1",
  27580.             "CLKMUX": "CLK",
  27581.             "GSR": "DISABLED",
  27582.             "LSRMUX": "LSR",
  27583.             "REGSET": "RESET"
  27584.           },
  27585.           "attributes": {
  27586.             "module_not_derived": 1,
  27587.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27588.           },
  27589.           "port_directions": {
  27590.             "CLK": "input",
  27591.             "DI": "input",
  27592.             "LSR": "input",
  27593.             "Q": "output"
  27594.           },
  27595.           "connections": {
  27596.             "CLK": [ 2 ],
  27597.             "DI": [ 52 ],
  27598.             "LSR": [ "0" ],
  27599.             "Q": [ 485 ]
  27600.           }
  27601.         },
  27602.         "$auto$simplemap.cc:420:simplemap_dff$969": {
  27603.           "hide_name": 1,
  27604.           "type": "TRELLIS_FF",
  27605.           "parameters": {
  27606.             "CEMUX": "1",
  27607.             "CLKMUX": "CLK",
  27608.             "GSR": "DISABLED",
  27609.             "LSRMUX": "LSR",
  27610.             "REGSET": "RESET"
  27611.           },
  27612.           "attributes": {
  27613.             "module_not_derived": 1,
  27614.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27615.           },
  27616.           "port_directions": {
  27617.             "CLK": "input",
  27618.             "DI": "input",
  27619.             "LSR": "input",
  27620.             "Q": "output"
  27621.           },
  27622.           "connections": {
  27623.             "CLK": [ 2 ],
  27624.             "DI": [ 55 ],
  27625.             "LSR": [ "0" ],
  27626.             "Q": [ 486 ]
  27627.           }
  27628.         },
  27629.         "$auto$simplemap.cc:420:simplemap_dff$970": {
  27630.           "hide_name": 1,
  27631.           "type": "TRELLIS_FF",
  27632.           "parameters": {
  27633.             "CEMUX": "1",
  27634.             "CLKMUX": "CLK",
  27635.             "GSR": "DISABLED",
  27636.             "LSRMUX": "LSR",
  27637.             "REGSET": "RESET"
  27638.           },
  27639.           "attributes": {
  27640.             "module_not_derived": 1,
  27641.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27642.           },
  27643.           "port_directions": {
  27644.             "CLK": "input",
  27645.             "DI": "input",
  27646.             "LSR": "input",
  27647.             "Q": "output"
  27648.           },
  27649.           "connections": {
  27650.             "CLK": [ 2 ],
  27651.             "DI": [ 58 ],
  27652.             "LSR": [ "0" ],
  27653.             "Q": [ 467 ]
  27654.           }
  27655.         },
  27656.         "$auto$simplemap.cc:420:simplemap_dff$971": {
  27657.           "hide_name": 1,
  27658.           "type": "TRELLIS_FF",
  27659.           "parameters": {
  27660.             "CEMUX": "1",
  27661.             "CLKMUX": "CLK",
  27662.             "GSR": "DISABLED",
  27663.             "LSRMUX": "LSR",
  27664.             "REGSET": "RESET"
  27665.           },
  27666.           "attributes": {
  27667.             "module_not_derived": 1,
  27668.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27669.           },
  27670.           "port_directions": {
  27671.             "CLK": "input",
  27672.             "DI": "input",
  27673.             "LSR": "input",
  27674.             "Q": "output"
  27675.           },
  27676.           "connections": {
  27677.             "CLK": [ 2 ],
  27678.             "DI": [ 61 ],
  27679.             "LSR": [ "0" ],
  27680.             "Q": [ 98 ]
  27681.           }
  27682.         },
  27683.         "$auto$simplemap.cc:420:simplemap_dff$972": {
  27684.           "hide_name": 1,
  27685.           "type": "TRELLIS_FF",
  27686.           "parameters": {
  27687.             "CEMUX": "1",
  27688.             "CLKMUX": "CLK",
  27689.             "GSR": "DISABLED",
  27690.             "LSRMUX": "LSR",
  27691.             "REGSET": "RESET"
  27692.           },
  27693.           "attributes": {
  27694.             "module_not_derived": 1,
  27695.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27696.           },
  27697.           "port_directions": {
  27698.             "CLK": "input",
  27699.             "DI": "input",
  27700.             "LSR": "input",
  27701.             "Q": "output"
  27702.           },
  27703.           "connections": {
  27704.             "CLK": [ 2 ],
  27705.             "DI": [ 64 ],
  27706.             "LSR": [ "0" ],
  27707.             "Q": [ 100 ]
  27708.           }
  27709.         },
  27710.         "$auto$simplemap.cc:420:simplemap_dff$973": {
  27711.           "hide_name": 1,
  27712.           "type": "TRELLIS_FF",
  27713.           "parameters": {
  27714.             "CEMUX": "1",
  27715.             "CLKMUX": "CLK",
  27716.             "GSR": "DISABLED",
  27717.             "LSRMUX": "LSR",
  27718.             "REGSET": "RESET"
  27719.           },
  27720.           "attributes": {
  27721.             "module_not_derived": 1,
  27722.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27723.           },
  27724.           "port_directions": {
  27725.             "CLK": "input",
  27726.             "DI": "input",
  27727.             "LSR": "input",
  27728.             "Q": "output"
  27729.           },
  27730.           "connections": {
  27731.             "CLK": [ 2 ],
  27732.             "DI": [ 67 ],
  27733.             "LSR": [ "0" ],
  27734.             "Q": [ 102 ]
  27735.           }
  27736.         },
  27737.         "$auto$simplemap.cc:420:simplemap_dff$974": {
  27738.           "hide_name": 1,
  27739.           "type": "TRELLIS_FF",
  27740.           "parameters": {
  27741.             "CEMUX": "1",
  27742.             "CLKMUX": "CLK",
  27743.             "GSR": "DISABLED",
  27744.             "LSRMUX": "LSR",
  27745.             "REGSET": "RESET"
  27746.           },
  27747.           "attributes": {
  27748.             "module_not_derived": 1,
  27749.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27750.           },
  27751.           "port_directions": {
  27752.             "CLK": "input",
  27753.             "DI": "input",
  27754.             "LSR": "input",
  27755.             "Q": "output"
  27756.           },
  27757.           "connections": {
  27758.             "CLK": [ 2 ],
  27759.             "DI": [ 70 ],
  27760.             "LSR": [ "0" ],
  27761.             "Q": [ 104 ]
  27762.           }
  27763.         },
  27764.         "$auto$simplemap.cc:420:simplemap_dff$975": {
  27765.           "hide_name": 1,
  27766.           "type": "TRELLIS_FF",
  27767.           "parameters": {
  27768.             "CEMUX": "1",
  27769.             "CLKMUX": "CLK",
  27770.             "GSR": "DISABLED",
  27771.             "LSRMUX": "LSR",
  27772.             "REGSET": "RESET"
  27773.           },
  27774.           "attributes": {
  27775.             "module_not_derived": 1,
  27776.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27777.           },
  27778.           "port_directions": {
  27779.             "CLK": "input",
  27780.             "DI": "input",
  27781.             "LSR": "input",
  27782.             "Q": "output"
  27783.           },
  27784.           "connections": {
  27785.             "CLK": [ 2 ],
  27786.             "DI": [ 73 ],
  27787.             "LSR": [ "0" ],
  27788.             "Q": [ 106 ]
  27789.           }
  27790.         },
  27791.         "$auto$simplemap.cc:420:simplemap_dff$976": {
  27792.           "hide_name": 1,
  27793.           "type": "TRELLIS_FF",
  27794.           "parameters": {
  27795.             "CEMUX": "1",
  27796.             "CLKMUX": "CLK",
  27797.             "GSR": "DISABLED",
  27798.             "LSRMUX": "LSR",
  27799.             "REGSET": "RESET"
  27800.           },
  27801.           "attributes": {
  27802.             "module_not_derived": 1,
  27803.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27804.           },
  27805.           "port_directions": {
  27806.             "CLK": "input",
  27807.             "DI": "input",
  27808.             "LSR": "input",
  27809.             "Q": "output"
  27810.           },
  27811.           "connections": {
  27812.             "CLK": [ 2 ],
  27813.             "DI": [ 76 ],
  27814.             "LSR": [ "0" ],
  27815.             "Q": [ 108 ]
  27816.           }
  27817.         },
  27818.         "$auto$simplemap.cc:420:simplemap_dff$977": {
  27819.           "hide_name": 1,
  27820.           "type": "TRELLIS_FF",
  27821.           "parameters": {
  27822.             "CEMUX": "1",
  27823.             "CLKMUX": "CLK",
  27824.             "GSR": "DISABLED",
  27825.             "LSRMUX": "LSR",
  27826.             "REGSET": "RESET"
  27827.           },
  27828.           "attributes": {
  27829.             "module_not_derived": 1,
  27830.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27831.           },
  27832.           "port_directions": {
  27833.             "CLK": "input",
  27834.             "DI": "input",
  27835.             "LSR": "input",
  27836.             "Q": "output"
  27837.           },
  27838.           "connections": {
  27839.             "CLK": [ 2 ],
  27840.             "DI": [ 79 ],
  27841.             "LSR": [ "0" ],
  27842.             "Q": [ 110 ]
  27843.           }
  27844.         },
  27845.         "$auto$simplemap.cc:420:simplemap_dff$978": {
  27846.           "hide_name": 1,
  27847.           "type": "TRELLIS_FF",
  27848.           "parameters": {
  27849.             "CEMUX": "1",
  27850.             "CLKMUX": "CLK",
  27851.             "GSR": "DISABLED",
  27852.             "LSRMUX": "LSR",
  27853.             "REGSET": "RESET"
  27854.           },
  27855.           "attributes": {
  27856.             "module_not_derived": 1,
  27857.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27858.           },
  27859.           "port_directions": {
  27860.             "CLK": "input",
  27861.             "DI": "input",
  27862.             "LSR": "input",
  27863.             "Q": "output"
  27864.           },
  27865.           "connections": {
  27866.             "CLK": [ 2 ],
  27867.             "DI": [ 82 ],
  27868.             "LSR": [ "0" ],
  27869.             "Q": [ 112 ]
  27870.           }
  27871.         },
  27872.         "$auto$simplemap.cc:420:simplemap_dff$979": {
  27873.           "hide_name": 1,
  27874.           "type": "TRELLIS_FF",
  27875.           "parameters": {
  27876.             "CEMUX": "1",
  27877.             "CLKMUX": "CLK",
  27878.             "GSR": "DISABLED",
  27879.             "LSRMUX": "LSR",
  27880.             "REGSET": "RESET"
  27881.           },
  27882.           "attributes": {
  27883.             "module_not_derived": 1,
  27884.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27885.           },
  27886.           "port_directions": {
  27887.             "CLK": "input",
  27888.             "DI": "input",
  27889.             "LSR": "input",
  27890.             "Q": "output"
  27891.           },
  27892.           "connections": {
  27893.             "CLK": [ 2 ],
  27894.             "DI": [ 85 ],
  27895.             "LSR": [ "0" ],
  27896.             "Q": [ 114 ]
  27897.           }
  27898.         },
  27899.         "$auto$simplemap.cc:420:simplemap_dff$980": {
  27900.           "hide_name": 1,
  27901.           "type": "TRELLIS_FF",
  27902.           "parameters": {
  27903.             "CEMUX": "1",
  27904.             "CLKMUX": "CLK",
  27905.             "GSR": "DISABLED",
  27906.             "LSRMUX": "LSR",
  27907.             "REGSET": "RESET"
  27908.           },
  27909.           "attributes": {
  27910.             "module_not_derived": 1,
  27911.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27912.           },
  27913.           "port_directions": {
  27914.             "CLK": "input",
  27915.             "DI": "input",
  27916.             "LSR": "input",
  27917.             "Q": "output"
  27918.           },
  27919.           "connections": {
  27920.             "CLK": [ 2 ],
  27921.             "DI": [ 88 ],
  27922.             "LSR": [ "0" ],
  27923.             "Q": [ 116 ]
  27924.           }
  27925.         },
  27926.         "$auto$simplemap.cc:420:simplemap_dff$981": {
  27927.           "hide_name": 1,
  27928.           "type": "TRELLIS_FF",
  27929.           "parameters": {
  27930.             "CEMUX": "1",
  27931.             "CLKMUX": "CLK",
  27932.             "GSR": "DISABLED",
  27933.             "LSRMUX": "LSR",
  27934.             "REGSET": "RESET"
  27935.           },
  27936.           "attributes": {
  27937.             "module_not_derived": 1,
  27938.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27939.           },
  27940.           "port_directions": {
  27941.             "CLK": "input",
  27942.             "DI": "input",
  27943.             "LSR": "input",
  27944.             "Q": "output"
  27945.           },
  27946.           "connections": {
  27947.             "CLK": [ 2 ],
  27948.             "DI": [ 91 ],
  27949.             "LSR": [ "0" ],
  27950.             "Q": [ 14 ]
  27951.           }
  27952.         },
  27953.         "$auto$simplemap.cc:420:simplemap_dff$982": {
  27954.           "hide_name": 1,
  27955.           "type": "TRELLIS_FF",
  27956.           "parameters": {
  27957.             "CEMUX": "1",
  27958.             "CLKMUX": "CLK",
  27959.             "GSR": "DISABLED",
  27960.             "LSRMUX": "LSR",
  27961.             "REGSET": "RESET"
  27962.           },
  27963.           "attributes": {
  27964.             "module_not_derived": 1,
  27965.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27966.           },
  27967.           "port_directions": {
  27968.             "CLK": "input",
  27969.             "DI": "input",
  27970.             "LSR": "input",
  27971.             "Q": "output"
  27972.           },
  27973.           "connections": {
  27974.             "CLK": [ 2 ],
  27975.             "DI": [ 94 ],
  27976.             "LSR": [ "0" ],
  27977.             "Q": [ 12 ]
  27978.           }
  27979.         },
  27980.         "$auto$simplemap.cc:420:simplemap_dff$983": {
  27981.           "hide_name": 1,
  27982.           "type": "TRELLIS_FF",
  27983.           "parameters": {
  27984.             "CEMUX": "1",
  27985.             "CLKMUX": "CLK",
  27986.             "GSR": "DISABLED",
  27987.             "LSRMUX": "LSR",
  27988.             "REGSET": "RESET"
  27989.           },
  27990.           "attributes": {
  27991.             "module_not_derived": 1,
  27992.             "src": "blinky.v:8|/usr/local/bin/../share/yosys/ecp5/cells_map.v:2"
  27993.           },
  27994.           "port_directions": {
  27995.             "CLK": "input",
  27996.             "DI": "input",
  27997.             "LSR": "input",
  27998.             "Q": "output"
  27999.           },
  28000.           "connections": {
  28001.             "CLK": [ 2 ],
  28002.             "DI": [ 97 ],
  28003.             "LSR": [ "0" ],
  28004.             "Q": [ 13 ]
  28005.           }
  28006.         }
  28007.       },
  28008.       "netnames": {
  28009.         "$0\\ctr[23:0]": {
  28010.           "hide_name": 1,
  28011.           "bits": [ 28, 31, 34, 37, 40, 43, 46, 49, 52, 55, 58, 61, 64, 67, 70, 73, 76, 79, 82, 85, 88, 91, 94, 97 ],
  28012.           "attributes": {
  28013.             "src": "blinky.v:8"
  28014.           }
  28015.         },
  28016.         "$0\\led_reg[0:0]": {
  28017.           "hide_name": 1,
  28018.           "bits": [ 219 ],
  28019.           "attributes": {
  28020.             "src": "blinky.v:24"
  28021.           }
  28022.         },
  28023.         "$0\\led_reg[1:1]": {
  28024.           "hide_name": 1,
  28025.           "bits": [ 255 ],
  28026.           "attributes": {
  28027.             "src": "blinky.v:24"
  28028.           }
  28029.         },
  28030.         "$0\\led_reg[2:2]": {
  28031.           "hide_name": 1,
  28032.           "bits": [ 261 ],
  28033.           "attributes": {
  28034.             "src": "blinky.v:24"
  28035.           }
  28036.         },
  28037.         "$0\\led_reg[3:3]": {
  28038.           "hide_name": 1,
  28039.           "bits": [ 257 ],
  28040.           "attributes": {
  28041.             "src": "blinky.v:24"
  28042.           }
  28043.         },
  28044.         "$0\\led_reg[4:4]": {
  28045.           "hide_name": 1,
  28046.           "bits": [ 265 ],
  28047.           "attributes": {
  28048.             "src": "blinky.v:24"
  28049.           }
  28050.         },
  28051.         "$0\\led_reg[5:5]": {
  28052.           "hide_name": 1,
  28053.           "bits": [ 263 ],
  28054.           "attributes": {
  28055.             "src": "blinky.v:24"
  28056.           }
  28057.         },
  28058.         "$0\\led_reg[6:6]": {
  28059.           "hide_name": 1,
  28060.           "bits": [ 259 ],
  28061.           "attributes": {
  28062.             "src": "blinky.v:24"
  28063.           }
  28064.         },
  28065.         "$0\\led_reg[7:7]": {
  28066.           "hide_name": 1,
  28067.           "bits": [ 253 ],
  28068.           "attributes": {
  28069.             "src": "blinky.v:24"
  28070.           }
  28071.         },
  28072.         "$0\\pwm_ctr[9:0]": {
  28073.           "hide_name": 1,
  28074.           "bits": [ 450, 451, 453, 454, 456, 457, 459, 460, 462, 463 ],
  28075.           "attributes": {
  28076.             "src": "blinky.v:8"
  28077.           }
  28078.         },
  28079.         "$abc$1948$add$blinky.v:9$13_Y[0]": {
  28080.           "hide_name": 1,
  28081.           "bits": [ 27 ],
  28082.           "attributes": {
  28083.             "src": "blinky.v:9"
  28084.           }
  28085.         },
  28086.         "$abc$1948$add$blinky.v:9$13_Y[10]": {
  28087.           "hide_name": 1,
  28088.           "bits": [ 57 ],
  28089.           "attributes": {
  28090.             "src": "blinky.v:9"
  28091.           }
  28092.         },
  28093.         "$abc$1948$add$blinky.v:9$13_Y[11]": {
  28094.           "hide_name": 1,
  28095.           "bits": [ 60 ],
  28096.           "attributes": {
  28097.             "src": "blinky.v:9"
  28098.           }
  28099.         },
  28100.         "$abc$1948$add$blinky.v:9$13_Y[12]": {
  28101.           "hide_name": 1,
  28102.           "bits": [ 63 ],
  28103.           "attributes": {
  28104.             "src": "blinky.v:9"
  28105.           }
  28106.         },
  28107.         "$abc$1948$add$blinky.v:9$13_Y[13]": {
  28108.           "hide_name": 1,
  28109.           "bits": [ 66 ],
  28110.           "attributes": {
  28111.             "src": "blinky.v:9"
  28112.           }
  28113.         },
  28114.         "$abc$1948$add$blinky.v:9$13_Y[14]": {
  28115.           "hide_name": 1,
  28116.           "bits": [ 69 ],
  28117.           "attributes": {
  28118.             "src": "blinky.v:9"
  28119.           }
  28120.         },
  28121.         "$abc$1948$add$blinky.v:9$13_Y[15]": {
  28122.           "hide_name": 1,
  28123.           "bits": [ 72 ],
  28124.           "attributes": {
  28125.             "src": "blinky.v:9"
  28126.           }
  28127.         },
  28128.         "$abc$1948$add$blinky.v:9$13_Y[16]": {
  28129.           "hide_name": 1,
  28130.           "bits": [ 75 ],
  28131.           "attributes": {
  28132.             "src": "blinky.v:9"
  28133.           }
  28134.         },
  28135.         "$abc$1948$add$blinky.v:9$13_Y[17]": {
  28136.           "hide_name": 1,
  28137.           "bits": [ 78 ],
  28138.           "attributes": {
  28139.             "src": "blinky.v:9"
  28140.           }
  28141.         },
  28142.         "$abc$1948$add$blinky.v:9$13_Y[18]": {
  28143.           "hide_name": 1,
  28144.           "bits": [ 81 ],
  28145.           "attributes": {
  28146.             "src": "blinky.v:9"
  28147.           }
  28148.         },
  28149.         "$abc$1948$add$blinky.v:9$13_Y[19]": {
  28150.           "hide_name": 1,
  28151.           "bits": [ 84 ],
  28152.           "attributes": {
  28153.             "src": "blinky.v:9"
  28154.           }
  28155.         },
  28156.         "$abc$1948$add$blinky.v:9$13_Y[1]": {
  28157.           "hide_name": 1,
  28158.           "bits": [ 30 ],
  28159.           "attributes": {
  28160.             "src": "blinky.v:9"
  28161.           }
  28162.         },
  28163.         "$abc$1948$add$blinky.v:9$13_Y[20]": {
  28164.           "hide_name": 1,
  28165.           "bits": [ 87 ],
  28166.           "attributes": {
  28167.             "src": "blinky.v:9"
  28168.           }
  28169.         },
  28170.         "$abc$1948$add$blinky.v:9$13_Y[21]": {
  28171.           "hide_name": 1,
  28172.           "bits": [ 90 ],
  28173.           "attributes": {
  28174.             "src": "blinky.v:9"
  28175.           }
  28176.         },
  28177.         "$abc$1948$add$blinky.v:9$13_Y[22]": {
  28178.           "hide_name": 1,
  28179.           "bits": [ 93 ],
  28180.           "attributes": {
  28181.             "src": "blinky.v:9"
  28182.           }
  28183.         },
  28184.         "$abc$1948$add$blinky.v:9$13_Y[23]": {
  28185.           "hide_name": 1,
  28186.           "bits": [ 96 ],
  28187.           "attributes": {
  28188.             "src": "blinky.v:9"
  28189.           }
  28190.         },
  28191.         "$abc$1948$add$blinky.v:9$13_Y[2]": {
  28192.           "hide_name": 1,
  28193.           "bits": [ 33 ],
  28194.           "attributes": {
  28195.             "src": "blinky.v:9"
  28196.           }
  28197.         },
  28198.         "$abc$1948$add$blinky.v:9$13_Y[3]": {
  28199.           "hide_name": 1,
  28200.           "bits": [ 36 ],
  28201.           "attributes": {
  28202.             "src": "blinky.v:9"
  28203.           }
  28204.         },
  28205.         "$abc$1948$add$blinky.v:9$13_Y[4]": {
  28206.           "hide_name": 1,
  28207.           "bits": [ 39 ],
  28208.           "attributes": {
  28209.             "src": "blinky.v:9"
  28210.           }
  28211.         },
  28212.         "$abc$1948$add$blinky.v:9$13_Y[5]": {
  28213.           "hide_name": 1,
  28214.           "bits": [ 42 ],
  28215.           "attributes": {
  28216.             "src": "blinky.v:9"
  28217.           }
  28218.         },
  28219.         "$abc$1948$add$blinky.v:9$13_Y[6]": {
  28220.           "hide_name": 1,
  28221.           "bits": [ 45 ],
  28222.           "attributes": {
  28223.             "src": "blinky.v:9"
  28224.           }
  28225.         },
  28226.         "$abc$1948$add$blinky.v:9$13_Y[7]": {
  28227.           "hide_name": 1,
  28228.           "bits": [ 48 ],
  28229.           "attributes": {
  28230.             "src": "blinky.v:9"
  28231.           }
  28232.         },
  28233.         "$abc$1948$add$blinky.v:9$13_Y[8]": {
  28234.           "hide_name": 1,
  28235.           "bits": [ 51 ],
  28236.           "attributes": {
  28237.             "src": "blinky.v:9"
  28238.           }
  28239.         },
  28240.         "$abc$1948$add$blinky.v:9$13_Y[9]": {
  28241.           "hide_name": 1,
  28242.           "bits": [ 54 ],
  28243.           "attributes": {
  28244.             "src": "blinky.v:9"
  28245.           }
  28246.         },
  28247.         "$abc$1948$auto$alumacc.cc:474:replace_alu$277.FCO[8]": {
  28248.           "hide_name": 1,
  28249.           "bits": [ 252 ],
  28250.           "attributes": {
  28251.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28252.           }
  28253.         },
  28254.         "$abc$1948$auto$alumacc.cc:474:replace_alu$282.FCO[8]": {
  28255.           "hide_name": 1,
  28256.           "bits": [ 258 ],
  28257.           "attributes": {
  28258.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28259.           }
  28260.         },
  28261.         "$abc$1948$auto$alumacc.cc:474:replace_alu$287.FCO[8]": {
  28262.           "hide_name": 1,
  28263.           "bits": [ 262 ],
  28264.           "attributes": {
  28265.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28266.           }
  28267.         },
  28268.         "$abc$1948$auto$alumacc.cc:474:replace_alu$292.FCO[8]": {
  28269.           "hide_name": 1,
  28270.           "bits": [ 264 ],
  28271.           "attributes": {
  28272.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28273.           }
  28274.         },
  28275.         "$abc$1948$auto$alumacc.cc:474:replace_alu$297.FCO[8]": {
  28276.           "hide_name": 1,
  28277.           "bits": [ 256 ],
  28278.           "attributes": {
  28279.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28280.           }
  28281.         },
  28282.         "$abc$1948$auto$alumacc.cc:474:replace_alu$302.FCO[8]": {
  28283.           "hide_name": 1,
  28284.           "bits": [ 260 ],
  28285.           "attributes": {
  28286.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28287.           }
  28288.         },
  28289.         "$abc$1948$auto$alumacc.cc:474:replace_alu$307.FCO[8]": {
  28290.           "hide_name": 1,
  28291.           "bits": [ 254 ],
  28292.           "attributes": {
  28293.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28294.           }
  28295.         },
  28296.         "$abc$1948$auto$alumacc.cc:474:replace_alu$312.FCO[8]": {
  28297.           "hide_name": 1,
  28298.           "bits": [ 218 ],
  28299.           "attributes": {
  28300.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28301.           }
  28302.         },
  28303.         "$abc$1948$auto$alumacc.cc:474:replace_alu$329.Y1[0]": {
  28304.           "hide_name": 1,
  28305.           "bits": [ 120 ],
  28306.           "attributes": {
  28307.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28308.           }
  28309.         },
  28310.         "$abc$1948$auto$alumacc.cc:474:replace_alu$329.Y1[2]": {
  28311.           "hide_name": 1,
  28312.           "bits": [ 124 ],
  28313.           "attributes": {
  28314.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28315.           }
  28316.         },
  28317.         "$abc$1948$auto$alumacc.cc:474:replace_alu$329.Y1[4]": {
  28318.           "hide_name": 1,
  28319.           "bits": [ 128 ],
  28320.           "attributes": {
  28321.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28322.           }
  28323.         },
  28324.         "$abc$1948$auto$alumacc.cc:474:replace_alu$329.Y1[6]": {
  28325.           "hide_name": 1,
  28326.           "bits": [ 132 ],
  28327.           "attributes": {
  28328.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28329.           }
  28330.         },
  28331.         "$abc$1948$auto$alumacc.cc:474:replace_alu$329.Y1[8]": {
  28332.           "hide_name": 1,
  28333.           "bits": [ 136 ],
  28334.           "attributes": {
  28335.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28336.           }
  28337.         },
  28338.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f0": {
  28339.           "hide_name": 1,
  28340.           "bits": [ 202 ],
  28341.           "attributes": {
  28342.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28343.           }
  28344.         },
  28345.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f1": {
  28346.           "hide_name": 1,
  28347.           "bits": [ 203 ],
  28348.           "attributes": {
  28349.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28350.           }
  28351.         },
  28352.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f2": {
  28353.           "hide_name": 1,
  28354.           "bits": [ 204 ],
  28355.           "attributes": {
  28356.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28357.           }
  28358.         },
  28359.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f3": {
  28360.           "hide_name": 1,
  28361.           "bits": [ 205 ],
  28362.           "attributes": {
  28363.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28364.           }
  28365.         },
  28366.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f4": {
  28367.           "hide_name": 1,
  28368.           "bits": [ 206 ],
  28369.           "attributes": {
  28370.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28371.           }
  28372.         },
  28373.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f5": {
  28374.           "hide_name": 1,
  28375.           "bits": [ 207 ],
  28376.           "attributes": {
  28377.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28378.           }
  28379.         },
  28380.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f6": {
  28381.           "hide_name": 1,
  28382.           "bits": [ 208 ],
  28383.           "attributes": {
  28384.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28385.           }
  28386.         },
  28387.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.f7": {
  28388.           "hide_name": 1,
  28389.           "bits": [ 209 ],
  28390.           "attributes": {
  28391.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28392.           }
  28393.         },
  28394.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.g0": {
  28395.           "hide_name": 1,
  28396.           "bits": [ 211 ],
  28397.           "attributes": {
  28398.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28399.           }
  28400.         },
  28401.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.g1": {
  28402.           "hide_name": 1,
  28403.           "bits": [ 212 ],
  28404.           "attributes": {
  28405.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28406.           }
  28407.         },
  28408.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.g2": {
  28409.           "hide_name": 1,
  28410.           "bits": [ 213 ],
  28411.           "attributes": {
  28412.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28413.           }
  28414.         },
  28415.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.g3": {
  28416.           "hide_name": 1,
  28417.           "bits": [ 214 ],
  28418.           "attributes": {
  28419.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28420.           }
  28421.         },
  28422.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.h0": {
  28423.           "hide_name": 1,
  28424.           "bits": [ 216 ],
  28425.           "attributes": {
  28426.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28427.           }
  28428.         },
  28429.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2063.h1": {
  28430.           "hide_name": 1,
  28431.           "bits": [ 217 ],
  28432.           "attributes": {
  28433.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:155"
  28434.           }
  28435.         },
  28436.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.f0": {
  28437.           "hide_name": 1,
  28438.           "bits": [ 228 ],
  28439.           "attributes": {
  28440.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28441.           }
  28442.         },
  28443.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.f1": {
  28444.           "hide_name": 1,
  28445.           "bits": [ 229 ],
  28446.           "attributes": {
  28447.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28448.           }
  28449.         },
  28450.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.f2": {
  28451.           "hide_name": 1,
  28452.           "bits": [ 230 ],
  28453.           "attributes": {
  28454.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28455.           }
  28456.         },
  28457.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.f3": {
  28458.           "hide_name": 1,
  28459.           "bits": [ 231 ],
  28460.           "attributes": {
  28461.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28462.           }
  28463.         },
  28464.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.g0": {
  28465.           "hide_name": 1,
  28466.           "bits": [ 233 ],
  28467.           "attributes": {
  28468.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28469.           }
  28470.         },
  28471.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2065.g1": {
  28472.           "hide_name": 1,
  28473.           "bits": [ 234 ],
  28474.           "attributes": {
  28475.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28476.           }
  28477.         },
  28478.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.f0": {
  28479.           "hide_name": 1,
  28480.           "bits": [ 240 ],
  28481.           "attributes": {
  28482.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28483.           }
  28484.         },
  28485.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.f1": {
  28486.           "hide_name": 1,
  28487.           "bits": [ 241 ],
  28488.           "attributes": {
  28489.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28490.           }
  28491.         },
  28492.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.f2": {
  28493.           "hide_name": 1,
  28494.           "bits": [ 242 ],
  28495.           "attributes": {
  28496.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28497.           }
  28498.         },
  28499.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.f3": {
  28500.           "hide_name": 1,
  28501.           "bits": [ 243 ],
  28502.           "attributes": {
  28503.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28504.           }
  28505.         },
  28506.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.g0": {
  28507.           "hide_name": 1,
  28508.           "bits": [ 244 ],
  28509.           "attributes": {
  28510.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28511.           }
  28512.         },
  28513.         "$abc$1948$auto$blifparse.cc:492:parse_blif$2067.g1": {
  28514.           "hide_name": 1,
  28515.           "bits": [ 245 ],
  28516.           "attributes": {
  28517.             "src": "/usr/local/bin/../share/yosys/ecp5/cells_map.v:139"
  28518.           }
  28519.         },
  28520.         "$abc$1948$auto$maccmap.cc:240:synth$474.BX[0]": {
  28521.           "hide_name": 1,
  28522.           "bits": [ 266 ],
  28523.           "attributes": {
  28524.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:53"
  28525.           }
  28526.         },
  28527.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[0]": {
  28528.           "hide_name": 1,
  28529.           "bits": [ 29 ],
  28530.           "attributes": {
  28531.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28532.           }
  28533.         },
  28534.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[10]": {
  28535.           "hide_name": 1,
  28536.           "bits": [ 59 ],
  28537.           "attributes": {
  28538.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28539.           }
  28540.         },
  28541.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[12]": {
  28542.           "hide_name": 1,
  28543.           "bits": [ 65 ],
  28544.           "attributes": {
  28545.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28546.           }
  28547.         },
  28548.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[14]": {
  28549.           "hide_name": 1,
  28550.           "bits": [ 71 ],
  28551.           "attributes": {
  28552.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28553.           }
  28554.         },
  28555.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[16]": {
  28556.           "hide_name": 1,
  28557.           "bits": [ 77 ],
  28558.           "attributes": {
  28559.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28560.           }
  28561.         },
  28562.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[18]": {
  28563.           "hide_name": 1,
  28564.           "bits": [ 83 ],
  28565.           "attributes": {
  28566.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28567.           }
  28568.         },
  28569.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[20]": {
  28570.           "hide_name": 1,
  28571.           "bits": [ 89 ],
  28572.           "attributes": {
  28573.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28574.           }
  28575.         },
  28576.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[22]": {
  28577.           "hide_name": 1,
  28578.           "bits": [ 95 ],
  28579.           "attributes": {
  28580.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28581.           }
  28582.         },
  28583.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[2]": {
  28584.           "hide_name": 1,
  28585.           "bits": [ 35 ],
  28586.           "attributes": {
  28587.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28588.           }
  28589.         },
  28590.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[4]": {
  28591.           "hide_name": 1,
  28592.           "bits": [ 41 ],
  28593.           "attributes": {
  28594.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28595.           }
  28596.         },
  28597.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[6]": {
  28598.           "hide_name": 1,
  28599.           "bits": [ 47 ],
  28600.           "attributes": {
  28601.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28602.           }
  28603.         },
  28604.         "$abc$1948$auto$maccmap.cc:240:synth$474.Y1[8]": {
  28605.           "hide_name": 1,
  28606.           "bits": [ 53 ],
  28607.           "attributes": {
  28608.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55"
  28609.           }
  28610.         },
  28611.         "$abc$1948$auto$maccmap.cc:245:synth$475[0]": {
  28612.           "hide_name": 1,
  28613.           "bits": [ 26 ],
  28614.           "attributes": {
  28615.           }
  28616.         },
  28617.         "$abc$1948$auto$maccmap.cc:245:synth$475[10]": {
  28618.           "hide_name": 1,
  28619.           "bits": [ 56 ],
  28620.           "attributes": {
  28621.           }
  28622.         },
  28623.         "$abc$1948$auto$maccmap.cc:245:synth$475[12]": {
  28624.           "hide_name": 1,
  28625.           "bits": [ 62 ],
  28626.           "attributes": {
  28627.           }
  28628.         },
  28629.         "$abc$1948$auto$maccmap.cc:245:synth$475[14]": {
  28630.           "hide_name": 1,
  28631.           "bits": [ 68 ],
  28632.           "attributes": {
  28633.           }
  28634.         },
  28635.         "$abc$1948$auto$maccmap.cc:245:synth$475[16]": {
  28636.           "hide_name": 1,
  28637.           "bits": [ 74 ],
  28638.           "attributes": {
  28639.           }
  28640.         },
  28641.         "$abc$1948$auto$maccmap.cc:245:synth$475[18]": {
  28642.           "hide_name": 1,
  28643.           "bits": [ 80 ],
  28644.           "attributes": {
  28645.           }
  28646.         },
  28647.         "$abc$1948$auto$maccmap.cc:245:synth$475[20]": {
  28648.           "hide_name": 1,
  28649.           "bits": [ 86 ],
  28650.           "attributes": {
  28651.           }
  28652.         },
  28653.         "$abc$1948$auto$maccmap.cc:245:synth$475[22]": {
  28654.           "hide_name": 1,
  28655.           "bits": [ 92 ],
  28656.           "attributes": {
  28657.           }
  28658.         },
  28659.         "$abc$1948$auto$maccmap.cc:245:synth$475[2]": {
  28660.           "hide_name": 1,
  28661.           "bits": [ 32 ],
  28662.           "attributes": {
  28663.           }
  28664.         },
  28665.         "$abc$1948$auto$maccmap.cc:245:synth$475[4]": {
  28666.           "hide_name": 1,
  28667.           "bits": [ 38 ],
  28668.           "attributes": {
  28669.           }
  28670.         },
  28671.         "$abc$1948$auto$maccmap.cc:245:synth$475[6]": {
  28672.           "hide_name": 1,
  28673.           "bits": [ 44 ],
  28674.           "attributes": {
  28675.           }
  28676.         },
  28677.         "$abc$1948$auto$maccmap.cc:245:synth$475[8]": {
  28678.           "hide_name": 1,
  28679.           "bits": [ 50 ],
  28680.           "attributes": {
  28681.           }
  28682.         },
  28683.         "$abc$1948$auto$wreduce.cc:455:run$267[0]": {
  28684.           "hide_name": 1,
  28685.           "bits": [ 118 ],
  28686.           "attributes": {
  28687.             "src": "blinky.v:30"
  28688.           }
  28689.         },
  28690.         "$abc$1948$auto$wreduce.cc:455:run$267[2]": {
  28691.           "hide_name": 1,
  28692.           "bits": [ 122 ],
  28693.           "attributes": {
  28694.             "src": "blinky.v:30"
  28695.           }
  28696.         },
  28697.         "$abc$1948$auto$wreduce.cc:455:run$267[4]": {
  28698.           "hide_name": 1,
  28699.           "bits": [ 126 ],
  28700.           "attributes": {
  28701.             "src": "blinky.v:30"
  28702.           }
  28703.         },
  28704.         "$abc$1948$auto$wreduce.cc:455:run$267[6]": {
  28705.           "hide_name": 1,
  28706.           "bits": [ 130 ],
  28707.           "attributes": {
  28708.             "src": "blinky.v:30"
  28709.           }
  28710.         },
  28711.         "$abc$1948$auto$wreduce.cc:455:run$267[8]": {
  28712.           "hide_name": 1,
  28713.           "bits": [ 134 ],
  28714.           "attributes": {
  28715.             "src": "blinky.v:30"
  28716.           }
  28717.         },
  28718.         "$abc$1948$eq$blinky.v:10$15_Y": {
  28719.           "hide_name": 1,
  28720.           "bits": [ 15 ],
  28721.           "attributes": {
  28722.             "src": "blinky.v:10"
  28723.           }
  28724.         },
  28725.         "$abc$1948$eq$blinky.v:12$18_Y": {
  28726.           "hide_name": 1,
  28727.           "bits": [ 16 ],
  28728.           "attributes": {
  28729.             "src": "blinky.v:12"
  28730.           }
  28731.         },
  28732.         "$abc$1948$eq$blinky.v:25$29_Y": {
  28733.           "hide_name": 1,
  28734.           "bits": [ 17 ],
  28735.           "attributes": {
  28736.             "src": "blinky.v:25"
  28737.           }
  28738.         },
  28739.         "$abc$1948$eq$blinky.v:25$35_Y": {
  28740.           "hide_name": 1,
  28741.           "bits": [ 18 ],
  28742.           "attributes": {
  28743.             "src": "blinky.v:25"
  28744.           }
  28745.         },
  28746.         "$abc$1948$eq$blinky.v:25$41_Y": {
  28747.           "hide_name": 1,
  28748.           "bits": [ 19 ],
  28749.           "attributes": {
  28750.             "src": "blinky.v:25"
  28751.           }
  28752.         },
  28753.         "$abc$1948$eq$blinky.v:25$47_Y": {
  28754.           "hide_name": 1,
  28755.           "bits": [ 20 ],
  28756.           "attributes": {
  28757.             "src": "blinky.v:25"
  28758.           }
  28759.         },
  28760.         "$abc$1948$eq$blinky.v:25$53_Y": {
  28761.           "hide_name": 1,
  28762.           "bits": [ 21 ],
  28763.           "attributes": {
  28764.             "src": "blinky.v:25"
  28765.           }
  28766.         },
  28767.         "$abc$1948$eq$blinky.v:25$59_Y": {
  28768.           "hide_name": 1,
  28769.           "bits": [ 22 ],
  28770.           "attributes": {
  28771.             "src": "blinky.v:25"
  28772.           }
  28773.         },
  28774.         "$abc$1948$logic_and$blinky.v:10$17_Y": {
  28775.           "hide_name": 1,
  28776.           "bits": [ 24 ],
  28777.           "attributes": {
  28778.             "src": "blinky.v:10"
  28779.           }
  28780.         },
  28781.         "$abc$1948$logic_and$blinky.v:12$20_Y": {
  28782.           "hide_name": 1,
  28783.           "bits": [ 25 ],
  28784.           "attributes": {
  28785.             "src": "blinky.v:12"
  28786.           }
  28787.         },
  28788.         "$abc$1948$new_n341_": {
  28789.           "hide_name": 1,
  28790.           "bits": [ 210 ],
  28791.           "attributes": {
  28792.           }
  28793.         },
  28794.         "$abc$1948$new_n342_": {
  28795.           "hide_name": 1,
  28796.           "bits": [ 215 ],
  28797.           "attributes": {
  28798.           }
  28799.         },
  28800.         "$abc$1948$new_n343_": {
  28801.           "hide_name": 1,
  28802.           "bits": [ 198 ],
  28803.           "attributes": {
  28804.           }
  28805.         },
  28806.         "$abc$1948$new_n344_": {
  28807.           "hide_name": 1,
  28808.           "bits": [ 199 ],
  28809.           "attributes": {
  28810.           }
  28811.         },
  28812.         "$abc$1948$new_n345_": {
  28813.           "hide_name": 1,
  28814.           "bits": [ 200 ],
  28815.           "attributes": {
  28816.           }
  28817.         },
  28818.         "$abc$1948$new_n346_": {
  28819.           "hide_name": 1,
  28820.           "bits": [ 201 ],
  28821.           "attributes": {
  28822.           }
  28823.         },
  28824.         "$abc$1948$procmux$175_Y[0]": {
  28825.           "hide_name": 1,
  28826.           "bits": [ 99 ],
  28827.           "attributes": {
  28828.           }
  28829.         },
  28830.         "$abc$1948$procmux$175_Y[1]": {
  28831.           "hide_name": 1,
  28832.           "bits": [ 101 ],
  28833.           "attributes": {
  28834.           }
  28835.         },
  28836.         "$abc$1948$procmux$175_Y[2]": {
  28837.           "hide_name": 1,
  28838.           "bits": [ 103 ],
  28839.           "attributes": {
  28840.           }
  28841.         },
  28842.         "$abc$1948$procmux$175_Y[3]": {
  28843.           "hide_name": 1,
  28844.           "bits": [ 105 ],
  28845.           "attributes": {
  28846.           }
  28847.         },
  28848.         "$abc$1948$procmux$175_Y[4]": {
  28849.           "hide_name": 1,
  28850.           "bits": [ 107 ],
  28851.           "attributes": {
  28852.           }
  28853.         },
  28854.         "$abc$1948$procmux$175_Y[5]": {
  28855.           "hide_name": 1,
  28856.           "bits": [ 109 ],
  28857.           "attributes": {
  28858.           }
  28859.         },
  28860.         "$abc$1948$procmux$175_Y[6]": {
  28861.           "hide_name": 1,
  28862.           "bits": [ 111 ],
  28863.           "attributes": {
  28864.           }
  28865.         },
  28866.         "$abc$1948$procmux$175_Y[7]": {
  28867.           "hide_name": 1,
  28868.           "bits": [ 113 ],
  28869.           "attributes": {
  28870.           }
  28871.         },
  28872.         "$abc$1948$procmux$175_Y[8]": {
  28873.           "hide_name": 1,
  28874.           "bits": [ 115 ],
  28875.           "attributes": {
  28876.           }
  28877.         },
  28878.         "$abc$1948$procmux$175_Y[9]": {
  28879.           "hide_name": 1,
  28880.           "bits": [ 117 ],
  28881.           "attributes": {
  28882.           }
  28883.         },
  28884.         "$abc$1948$procmux$184_Y[0]": {
  28885.           "hide_name": 1,
  28886.           "bits": [ 119 ],
  28887.           "attributes": {
  28888.           }
  28889.         },
  28890.         "$abc$1948$procmux$184_Y[1]": {
  28891.           "hide_name": 1,
  28892.           "bits": [ 121 ],
  28893.           "attributes": {
  28894.           }
  28895.         },
  28896.         "$abc$1948$procmux$184_Y[2]": {
  28897.           "hide_name": 1,
  28898.           "bits": [ 123 ],
  28899.           "attributes": {
  28900.           }
  28901.         },
  28902.         "$abc$1948$procmux$184_Y[3]": {
  28903.           "hide_name": 1,
  28904.           "bits": [ 125 ],
  28905.           "attributes": {
  28906.           }
  28907.         },
  28908.         "$abc$1948$procmux$184_Y[4]": {
  28909.           "hide_name": 1,
  28910.           "bits": [ 127 ],
  28911.           "attributes": {
  28912.           }
  28913.         },
  28914.         "$abc$1948$procmux$184_Y[5]": {
  28915.           "hide_name": 1,
  28916.           "bits": [ 129 ],
  28917.           "attributes": {
  28918.           }
  28919.         },
  28920.         "$abc$1948$procmux$184_Y[6]": {
  28921.           "hide_name": 1,
  28922.           "bits": [ 131 ],
  28923.           "attributes": {
  28924.           }
  28925.         },
  28926.         "$abc$1948$procmux$184_Y[7]": {
  28927.           "hide_name": 1,
  28928.           "bits": [ 133 ],
  28929.           "attributes": {
  28930.           }
  28931.         },
  28932.         "$abc$1948$procmux$184_Y[8]": {
  28933.           "hide_name": 1,
  28934.           "bits": [ 135 ],
  28935.           "attributes": {
  28936.           }
  28937.         },
  28938.         "$abc$1948$procmux$184_Y[9]": {
  28939.           "hide_name": 1,
  28940.           "bits": [ 137 ],
  28941.           "attributes": {
  28942.           }
  28943.         },
  28944.         "$abc$1948$procmux$193_Y[0]": {
  28945.           "hide_name": 1,
  28946.           "bits": [ 138 ],
  28947.           "attributes": {
  28948.           }
  28949.         },
  28950.         "$abc$1948$procmux$193_Y[1]": {
  28951.           "hide_name": 1,
  28952.           "bits": [ 139 ],
  28953.           "attributes": {
  28954.           }
  28955.         },
  28956.         "$abc$1948$procmux$193_Y[2]": {
  28957.           "hide_name": 1,
  28958.           "bits": [ 140 ],
  28959.           "attributes": {
  28960.           }
  28961.         },
  28962.         "$abc$1948$procmux$193_Y[3]": {
  28963.           "hide_name": 1,
  28964.           "bits": [ 141 ],
  28965.           "attributes": {
  28966.           }
  28967.         },
  28968.         "$abc$1948$procmux$193_Y[4]": {
  28969.           "hide_name": 1,
  28970.           "bits": [ 142 ],
  28971.           "attributes": {
  28972.           }
  28973.         },
  28974.         "$abc$1948$procmux$193_Y[5]": {
  28975.           "hide_name": 1,
  28976.           "bits": [ 143 ],
  28977.           "attributes": {
  28978.           }
  28979.         },
  28980.         "$abc$1948$procmux$193_Y[6]": {
  28981.           "hide_name": 1,
  28982.           "bits": [ 144 ],
  28983.           "attributes": {
  28984.           }
  28985.         },
  28986.         "$abc$1948$procmux$193_Y[7]": {
  28987.           "hide_name": 1,
  28988.           "bits": [ 145 ],
  28989.           "attributes": {
  28990.           }
  28991.         },
  28992.         "$abc$1948$procmux$193_Y[8]": {
  28993.           "hide_name": 1,
  28994.           "bits": [ 146 ],
  28995.           "attributes": {
  28996.           }
  28997.         },
  28998.         "$abc$1948$procmux$193_Y[9]": {
  28999.           "hide_name": 1,
  29000.           "bits": [ 147 ],
  29001.           "attributes": {
  29002.           }
  29003.         },
  29004.         "$abc$1948$procmux$202_Y[0]": {
  29005.           "hide_name": 1,
  29006.           "bits": [ 148 ],
  29007.           "attributes": {
  29008.           }
  29009.         },
  29010.         "$abc$1948$procmux$202_Y[1]": {
  29011.           "hide_name": 1,
  29012.           "bits": [ 149 ],
  29013.           "attributes": {
  29014.           }
  29015.         },
  29016.         "$abc$1948$procmux$202_Y[2]": {
  29017.           "hide_name": 1,
  29018.           "bits": [ 150 ],
  29019.           "attributes": {
  29020.           }
  29021.         },
  29022.         "$abc$1948$procmux$202_Y[3]": {
  29023.           "hide_name": 1,
  29024.           "bits": [ 151 ],
  29025.           "attributes": {
  29026.           }
  29027.         },
  29028.         "$abc$1948$procmux$202_Y[4]": {
  29029.           "hide_name": 1,
  29030.           "bits": [ 152 ],
  29031.           "attributes": {
  29032.           }
  29033.         },
  29034.         "$abc$1948$procmux$202_Y[5]": {
  29035.           "hide_name": 1,
  29036.           "bits": [ 153 ],
  29037.           "attributes": {
  29038.           }
  29039.         },
  29040.         "$abc$1948$procmux$202_Y[6]": {
  29041.           "hide_name": 1,
  29042.           "bits": [ 154 ],
  29043.           "attributes": {
  29044.           }
  29045.         },
  29046.         "$abc$1948$procmux$202_Y[7]": {
  29047.           "hide_name": 1,
  29048.           "bits": [ 155 ],
  29049.           "attributes": {
  29050.           }
  29051.         },
  29052.         "$abc$1948$procmux$202_Y[8]": {
  29053.           "hide_name": 1,
  29054.           "bits": [ 156 ],
  29055.           "attributes": {
  29056.           }
  29057.         },
  29058.         "$abc$1948$procmux$202_Y[9]": {
  29059.           "hide_name": 1,
  29060.           "bits": [ 157 ],
  29061.           "attributes": {
  29062.           }
  29063.         },
  29064.         "$abc$1948$procmux$211_Y[0]": {
  29065.           "hide_name": 1,
  29066.           "bits": [ 158 ],
  29067.           "attributes": {
  29068.           }
  29069.         },
  29070.         "$abc$1948$procmux$211_Y[1]": {
  29071.           "hide_name": 1,
  29072.           "bits": [ 159 ],
  29073.           "attributes": {
  29074.           }
  29075.         },
  29076.         "$abc$1948$procmux$211_Y[2]": {
  29077.           "hide_name": 1,
  29078.           "bits": [ 160 ],
  29079.           "attributes": {
  29080.           }
  29081.         },
  29082.         "$abc$1948$procmux$211_Y[3]": {
  29083.           "hide_name": 1,
  29084.           "bits": [ 161 ],
  29085.           "attributes": {
  29086.           }
  29087.         },
  29088.         "$abc$1948$procmux$211_Y[4]": {
  29089.           "hide_name": 1,
  29090.           "bits": [ 162 ],
  29091.           "attributes": {
  29092.           }
  29093.         },
  29094.         "$abc$1948$procmux$211_Y[5]": {
  29095.           "hide_name": 1,
  29096.           "bits": [ 163 ],
  29097.           "attributes": {
  29098.           }
  29099.         },
  29100.         "$abc$1948$procmux$211_Y[6]": {
  29101.           "hide_name": 1,
  29102.           "bits": [ 164 ],
  29103.           "attributes": {
  29104.           }
  29105.         },
  29106.         "$abc$1948$procmux$211_Y[7]": {
  29107.           "hide_name": 1,
  29108.           "bits": [ 165 ],
  29109.           "attributes": {
  29110.           }
  29111.         },
  29112.         "$abc$1948$procmux$211_Y[8]": {
  29113.           "hide_name": 1,
  29114.           "bits": [ 166 ],
  29115.           "attributes": {
  29116.           }
  29117.         },
  29118.         "$abc$1948$procmux$211_Y[9]": {
  29119.           "hide_name": 1,
  29120.           "bits": [ 167 ],
  29121.           "attributes": {
  29122.           }
  29123.         },
  29124.         "$abc$1948$procmux$220_Y[0]": {
  29125.           "hide_name": 1,
  29126.           "bits": [ 168 ],
  29127.           "attributes": {
  29128.           }
  29129.         },
  29130.         "$abc$1948$procmux$220_Y[1]": {
  29131.           "hide_name": 1,
  29132.           "bits": [ 169 ],
  29133.           "attributes": {
  29134.           }
  29135.         },
  29136.         "$abc$1948$procmux$220_Y[2]": {
  29137.           "hide_name": 1,
  29138.           "bits": [ 170 ],
  29139.           "attributes": {
  29140.           }
  29141.         },
  29142.         "$abc$1948$procmux$220_Y[3]": {
  29143.           "hide_name": 1,
  29144.           "bits": [ 171 ],
  29145.           "attributes": {
  29146.           }
  29147.         },
  29148.         "$abc$1948$procmux$220_Y[4]": {
  29149.           "hide_name": 1,
  29150.           "bits": [ 172 ],
  29151.           "attributes": {
  29152.           }
  29153.         },
  29154.         "$abc$1948$procmux$220_Y[5]": {
  29155.           "hide_name": 1,
  29156.           "bits": [ 173 ],
  29157.           "attributes": {
  29158.           }
  29159.         },
  29160.         "$abc$1948$procmux$220_Y[6]": {
  29161.           "hide_name": 1,
  29162.           "bits": [ 174 ],
  29163.           "attributes": {
  29164.           }
  29165.         },
  29166.         "$abc$1948$procmux$220_Y[7]": {
  29167.           "hide_name": 1,
  29168.           "bits": [ 175 ],
  29169.           "attributes": {
  29170.           }
  29171.         },
  29172.         "$abc$1948$procmux$220_Y[8]": {
  29173.           "hide_name": 1,
  29174.           "bits": [ 176 ],
  29175.           "attributes": {
  29176.           }
  29177.         },
  29178.         "$abc$1948$procmux$220_Y[9]": {
  29179.           "hide_name": 1,
  29180.           "bits": [ 177 ],
  29181.           "attributes": {
  29182.           }
  29183.         },
  29184.         "$abc$1948$procmux$229_Y[0]": {
  29185.           "hide_name": 1,
  29186.           "bits": [ 178 ],
  29187.           "attributes": {
  29188.           }
  29189.         },
  29190.         "$abc$1948$procmux$229_Y[1]": {
  29191.           "hide_name": 1,
  29192.           "bits": [ 179 ],
  29193.           "attributes": {
  29194.           }
  29195.         },
  29196.         "$abc$1948$procmux$229_Y[2]": {
  29197.           "hide_name": 1,
  29198.           "bits": [ 180 ],
  29199.           "attributes": {
  29200.           }
  29201.         },
  29202.         "$abc$1948$procmux$229_Y[3]": {
  29203.           "hide_name": 1,
  29204.           "bits": [ 181 ],
  29205.           "attributes": {
  29206.           }
  29207.         },
  29208.         "$abc$1948$procmux$229_Y[4]": {
  29209.           "hide_name": 1,
  29210.           "bits": [ 182 ],
  29211.           "attributes": {
  29212.           }
  29213.         },
  29214.         "$abc$1948$procmux$229_Y[5]": {
  29215.           "hide_name": 1,
  29216.           "bits": [ 183 ],
  29217.           "attributes": {
  29218.           }
  29219.         },
  29220.         "$abc$1948$procmux$229_Y[6]": {
  29221.           "hide_name": 1,
  29222.           "bits": [ 184 ],
  29223.           "attributes": {
  29224.           }
  29225.         },
  29226.         "$abc$1948$procmux$229_Y[7]": {
  29227.           "hide_name": 1,
  29228.           "bits": [ 185 ],
  29229.           "attributes": {
  29230.           }
  29231.         },
  29232.         "$abc$1948$procmux$229_Y[8]": {
  29233.           "hide_name": 1,
  29234.           "bits": [ 186 ],
  29235.           "attributes": {
  29236.           }
  29237.         },
  29238.         "$abc$1948$procmux$229_Y[9]": {
  29239.           "hide_name": 1,
  29240.           "bits": [ 187 ],
  29241.           "attributes": {
  29242.           }
  29243.         },
  29244.         "$abc$1948$procmux$235_Y[0]": {
  29245.           "hide_name": 1,
  29246.           "bits": [ 188 ],
  29247.           "attributes": {
  29248.           }
  29249.         },
  29250.         "$abc$1948$procmux$235_Y[1]": {
  29251.           "hide_name": 1,
  29252.           "bits": [ 189 ],
  29253.           "attributes": {
  29254.           }
  29255.         },
  29256.         "$abc$1948$procmux$235_Y[2]": {
  29257.           "hide_name": 1,
  29258.           "bits": [ 190 ],
  29259.           "attributes": {
  29260.           }
  29261.         },
  29262.         "$abc$1948$procmux$235_Y[3]": {
  29263.           "hide_name": 1,
  29264.           "bits": [ 191 ],
  29265.           "attributes": {
  29266.           }
  29267.         },
  29268.         "$abc$1948$procmux$235_Y[4]": {
  29269.           "hide_name": 1,
  29270.           "bits": [ 192 ],
  29271.           "attributes": {
  29272.           }
  29273.         },
  29274.         "$abc$1948$procmux$235_Y[5]": {
  29275.           "hide_name": 1,
  29276.           "bits": [ 193 ],
  29277.           "attributes": {
  29278.           }
  29279.         },
  29280.         "$abc$1948$procmux$235_Y[6]": {
  29281.           "hide_name": 1,
  29282.           "bits": [ 194 ],
  29283.           "attributes": {
  29284.           }
  29285.         },
  29286.         "$abc$1948$procmux$235_Y[7]": {
  29287.           "hide_name": 1,
  29288.           "bits": [ 195 ],
  29289.           "attributes": {
  29290.           }
  29291.         },
  29292.         "$abc$1948$procmux$235_Y[8]": {
  29293.           "hide_name": 1,
  29294.           "bits": [ 196 ],
  29295.           "attributes": {
  29296.           }
  29297.         },
  29298.         "$abc$1948$procmux$235_Y[9]": {
  29299.           "hide_name": 1,
  29300.           "bits": [ 197 ],
  29301.           "attributes": {
  29302.           }
  29303.         },
  29304.         "$auto$alumacc.cc:415:extract_cmp_alu$269": {
  29305.           "hide_name": 1,
  29306.           "bits": [ 436, 437, 439, 440, 442, 443, 445, 446, 447, 448 ],
  29307.           "attributes": {
  29308.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29309.           }
  29310.         },
  29311.         "$auto$alumacc.cc:415:extract_cmp_alu$270": {
  29312.           "hide_name": 1,
  29313.           "bits": [ 414, 415, 419, 420, 424, 425, 429, 430, 433, 434 ],
  29314.           "attributes": {
  29315.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29316.           }
  29317.         },
  29318.         "$auto$alumacc.cc:415:extract_cmp_alu$271": {
  29319.           "hide_name": 1,
  29320.           "bits": [ 390, 391, 395, 396, 400, 401, 405, 406, 409, 410 ],
  29321.           "attributes": {
  29322.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29323.           }
  29324.         },
  29325.         "$auto$alumacc.cc:415:extract_cmp_alu$272": {
  29326.           "hide_name": 1,
  29327.           "bits": [ 366, 367, 371, 372, 376, 377, 381, 382, 385, 386 ],
  29328.           "attributes": {
  29329.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29330.           }
  29331.         },
  29332.         "$auto$alumacc.cc:415:extract_cmp_alu$273": {
  29333.           "hide_name": 1,
  29334.           "bits": [ 342, 343, 347, 348, 352, 353, 357, 358, 361, 362 ],
  29335.           "attributes": {
  29336.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29337.           }
  29338.         },
  29339.         "$auto$alumacc.cc:415:extract_cmp_alu$274": {
  29340.           "hide_name": 1,
  29341.           "bits": [ 318, 319, 323, 324, 328, 329, 333, 334, 337, 338 ],
  29342.           "attributes": {
  29343.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29344.           }
  29345.         },
  29346.         "$auto$alumacc.cc:415:extract_cmp_alu$275": {
  29347.           "hide_name": 1,
  29348.           "bits": [ 294, 295, 299, 300, 304, 305, 309, 310, 313, 314 ],
  29349.           "attributes": {
  29350.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29351.           }
  29352.         },
  29353.         "$auto$alumacc.cc:415:extract_cmp_alu$276": {
  29354.           "hide_name": 1,
  29355.           "bits": [ 270, 271, 275, 276, 280, 281, 285, 286, 289, 290 ],
  29356.           "attributes": {
  29357.             "unused_bits": "0 1 2 3 4 5 6 7 8 9"
  29358.           }
  29359.         },
  29360.         "$auto$alumacc.cc:474:replace_alu$277.C": {
  29361.           "hide_name": 1,
  29362.           "bits": [ "1", 504, 269, 505, 274, 506, 279, 507, 284, 508 ],
  29363.           "attributes": {
  29364.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29365.             "unused_bits": "1 3 5 7 9"
  29366.           }
  29367.         },
  29368.         "$auto$alumacc.cc:474:replace_alu$282.C": {
  29369.           "hide_name": 1,
  29370.           "bits": [ "1", 509, 293, 510, 298, 511, 303, 512, 308, 513 ],
  29371.           "attributes": {
  29372.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29373.             "unused_bits": "1 3 5 7 9"
  29374.           }
  29375.         },
  29376.         "$auto$alumacc.cc:474:replace_alu$287.C": {
  29377.           "hide_name": 1,
  29378.           "bits": [ "1", 514, 317, 515, 322, 516, 327, 517, 332, 518 ],
  29379.           "attributes": {
  29380.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29381.             "unused_bits": "1 3 5 7 9"
  29382.           }
  29383.         },
  29384.         "$auto$alumacc.cc:474:replace_alu$292.C": {
  29385.           "hide_name": 1,
  29386.           "bits": [ "1", 519, 341, 520, 346, 521, 351, 522, 356, 523 ],
  29387.           "attributes": {
  29388.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29389.             "unused_bits": "1 3 5 7 9"
  29390.           }
  29391.         },
  29392.         "$auto$alumacc.cc:474:replace_alu$297.C": {
  29393.           "hide_name": 1,
  29394.           "bits": [ "1", 524, 365, 525, 370, 526, 375, 527, 380, 528 ],
  29395.           "attributes": {
  29396.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29397.             "unused_bits": "1 3 5 7 9"
  29398.           }
  29399.         },
  29400.         "$auto$alumacc.cc:474:replace_alu$302.C": {
  29401.           "hide_name": 1,
  29402.           "bits": [ "1", 529, 389, 530, 394, 531, 399, 532, 404, 533 ],
  29403.           "attributes": {
  29404.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29405.             "unused_bits": "1 3 5 7 9"
  29406.           }
  29407.         },
  29408.         "$auto$alumacc.cc:474:replace_alu$307.C": {
  29409.           "hide_name": 1,
  29410.           "bits": [ "1", 534, 413, 535, 418, 536, 423, 537, 428, 538 ],
  29411.           "attributes": {
  29412.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29413.             "unused_bits": "1 3 5 7 9"
  29414.           }
  29415.         },
  29416.         "$auto$alumacc.cc:474:replace_alu$312.C": {
  29417.           "hide_name": 1,
  29418.           "bits": [ "1", 539, 435, 540, 438, 541, 441, 542, 444, 543 ],
  29419.           "attributes": {
  29420.             "src": "blinky.v:33|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29421.             "unused_bits": "1 3 5 7 9"
  29422.           }
  29423.         },
  29424.         "$auto$alumacc.cc:474:replace_alu$323.C": {
  29425.           "hide_name": 1,
  29426.           "bits": [ "0", 544, 449, 545, 452, 546, 455, 547, 458, 548 ],
  29427.           "attributes": {
  29428.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29429.             "unused_bits": "1 3 5 7 9"
  29430.           }
  29431.         },
  29432.         "$auto$alumacc.cc:474:replace_alu$323.FCO": {
  29433.           "hide_name": 1,
  29434.           "bits": [ 449, 549, 452, 550, 455, 551, 458, 552, 461, 553 ],
  29435.           "attributes": {
  29436.             "src": "blinky.v:14|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55",
  29437.             "unused_bits": "1 3 5 7 8 9"
  29438.           }
  29439.         },
  29440.         "$auto$alumacc.cc:474:replace_alu$326.C": {
  29441.           "hide_name": 1,
  29442.           "bits": [ "1", 554, 466, 555, 478, 556, 481, 557, 484, 558, 468, 559, 469, 560, 470, 561, 471, 562, 472, 563, 473, 564, 474, 565 ],
  29443.           "attributes": {
  29444.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29445.             "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23"
  29446.           }
  29447.         },
  29448.         "$auto$alumacc.cc:474:replace_alu$326.FCO": {
  29449.           "hide_name": 1,
  29450.           "bits": [ 466, 566, 478, 567, 481, 568, 484, 569, 468, 570, 469, 571, 470, 572, 471, 573, 472, 574, 473, 575, 474, 576, 475, 577 ],
  29451.           "attributes": {
  29452.             "src": "blinky.v:9|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55",
  29453.             "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 22 23"
  29454.           }
  29455.         },
  29456.         "$auto$alumacc.cc:474:replace_alu$329.C": {
  29457.           "hide_name": 1,
  29458.           "bits": [ "1", 578, 487, 579, 488, 580, 489, 581, 490, 582 ],
  29459.           "attributes": {
  29460.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29461.             "unused_bits": "1 3 5 7 9"
  29462.           }
  29463.         },
  29464.         "$auto$alumacc.cc:474:replace_alu$329.FCO": {
  29465.           "hide_name": 1,
  29466.           "bits": [ 487, 583, 488, 584, 489, 585, 490, 586, 491, 587 ],
  29467.           "attributes": {
  29468.             "src": "blinky.v:30|/usr/local/bin/../share/yosys/ecp5/arith_map.v:55",
  29469.             "unused_bits": "1 3 5 7 8 9"
  29470.           }
  29471.         },
  29472.         "$auto$maccmap.cc:240:synth$474.C": {
  29473.           "hide_name": 1,
  29474.           "bits": [ "0", 588, 492, 589, 501, 590, 502, 591, 503, 592, 493, 593, 494, 594, 495, 595, 496, 596, 497, 597, 498, 598, 499, 599 ],
  29475.           "attributes": {
  29476.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:54",
  29477.             "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 23"
  29478.           }
  29479.         },
  29480.         "$auto$maccmap.cc:240:synth$474.FCO": {
  29481.           "hide_name": 1,
  29482.           "bits": [ 492, 600, 501, 601, 502, 602, 503, 603, 493, 604, 494, 605, 495, 606, 496, 607, 497, 608, 498, 609, 499, 610, 500, 611 ],
  29483.           "attributes": {
  29484.             "src": "/usr/local/bin/../share/yosys/ecp5/arith_map.v:55",
  29485.             "unused_bits": "1 3 5 7 9 11 13 15 17 19 21 22 23"
  29486.           }
  29487.         },
  29488.         "brightness[0]": {
  29489.           "hide_name": 0,
  29490.           "bits": [ 235, 224, 223, 221, 247, 226, 249, 237, 239, 251 ],
  29491.           "attributes": {
  29492.             "src": "blinky.v:1"
  29493.           }
  29494.         },
  29495.         "brightness[1]": {
  29496.           "hide_name": 0,
  29497.           "bits": [ 411, 412, 416, 417, 421, 422, 426, 427, 431, 432 ],
  29498.           "attributes": {
  29499.             "src": "blinky.v:1"
  29500.           }
  29501.         },
  29502.         "brightness[2]": {
  29503.           "hide_name": 0,
  29504.           "bits": [ 387, 388, 392, 393, 397, 398, 402, 403, 407, 408 ],
  29505.           "attributes": {
  29506.             "src": "blinky.v:1"
  29507.           }
  29508.         },
  29509.         "brightness[3]": {
  29510.           "hide_name": 0,
  29511.           "bits": [ 363, 364, 368, 369, 373, 374, 378, 379, 383, 384 ],
  29512.           "attributes": {
  29513.             "src": "blinky.v:1"
  29514.           }
  29515.         },
  29516.         "brightness[4]": {
  29517.           "hide_name": 0,
  29518.           "bits": [ 339, 340, 344, 345, 349, 350, 354, 355, 359, 360 ],
  29519.           "attributes": {
  29520.             "src": "blinky.v:1"
  29521.           }
  29522.         },
  29523.         "brightness[5]": {
  29524.           "hide_name": 0,
  29525.           "bits": [ 315, 316, 320, 321, 325, 326, 330, 331, 335, 336 ],
  29526.           "attributes": {
  29527.             "src": "blinky.v:1"
  29528.           }
  29529.         },
  29530.         "brightness[6]": {
  29531.           "hide_name": 0,
  29532.           "bits": [ 291, 292, 296, 297, 301, 302, 306, 307, 311, 312 ],
  29533.           "attributes": {
  29534.             "src": "blinky.v:1"
  29535.           }
  29536.         },
  29537.         "brightness[7]": {
  29538.           "hide_name": 0,
  29539.           "bits": [ 267, 268, 272, 273, 277, 278, 282, 283, 287, 288 ],
  29540.           "attributes": {
  29541.             "src": "blinky.v:1"
  29542.           }
  29543.         },
  29544.         "btn": {
  29545.           "hide_name": 0,
  29546.           "bits": [ 3 ],
  29547.           "attributes": {
  29548.             "src": "blinky.v:1"
  29549.           }
  29550.         },
  29551.         "clk": {
  29552.           "hide_name": 0,
  29553.           "bits": [ 2 ],
  29554.           "attributes": {
  29555.             "src": "blinky.v:1"
  29556.           }
  29557.         },
  29558.         "ctr": {
  29559.           "hide_name": 0,
  29560.           "bits": [ 464, 465, 476, 477, 479, 480, 482, 483, 485, 486, 467, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 14, 12, 13 ],
  29561.           "attributes": {
  29562.             "src": "blinky.v:4"
  29563.           }
  29564.         },
  29565.         "dir": {
  29566.           "hide_name": 0,
  29567.           "bits": [ 23 ],
  29568.           "attributes": {
  29569.             "src": "blinky.v:6"
  29570.           }
  29571.         },
  29572.         "led": {
  29573.           "hide_name": 0,
  29574.           "bits": [ 4, 5, 6, 7, 8, 9, 10, 11 ],
  29575.           "attributes": {
  29576.             "src": "blinky.v:1"
  29577.           }
  29578.         },
  29579.         "led_reg": {
  29580.           "hide_name": 0,
  29581.           "bits": [ 4, 5, 6, 7, 8, 9, 10, 11 ],
  29582.           "attributes": {
  29583.             "src": "blinky.v:19"
  29584.           }
  29585.         },
  29586.         "pwm_ctr": {
  29587.           "hide_name": 0,
  29588.           "bits": [ 232, 225, 222, 220, 246, 227, 248, 236, 238, 250 ],
  29589.           "attributes": {
  29590.             "src": "blinky.v:5"
  29591.           }
  29592.         }
  29593.       }
  29594.     }
  29595.   }
  29596. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement