Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- `timescale 1 ns / 1 ps
- module compute_sad_v1_0_S00_AXI #
- (
- // Users to add parameters here
- // User parameters ends
- // Do not modify the parameters beyond this line
- // Width of S_AXI data bus
- parameter integer C_S_AXI_DATA_WIDTH = 32,
- // Width of S_AXI address bus
- parameter integer C_S_AXI_ADDR_WIDTH = 6
- )
- (
- // Users to add ports here
- // User ports ends
- // Do not modify the ports beyond this line
- // Global Clock Signal
- input wire S_AXI_ACLK,
- // Global Reset Signal. This Signal is Active LOW
- input wire S_AXI_ARESETN,
- // Write address (issued by master, acceped by Slave)
- input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR,
- // Write channel Protection type. This signal indicates the
- // privilege and security level of the transaction, and whether
- // the transaction is a data access or an instruction access.
- input wire [2 : 0] S_AXI_AWPROT,
- // Write address valid. This signal indicates that the master signaling
- // valid write address and control information.
- input wire S_AXI_AWVALID,
- // Write address ready. This signal indicates that the slave is ready
- // to accept an address and associated control signals.
- output wire S_AXI_AWREADY,
- // Write data (issued by master, acceped by Slave)
- input wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_WDATA,
- // Write strobes. This signal indicates which byte lanes hold
- // valid data. There is one write strobe bit for each eight
- // bits of the write data bus.
- input wire [(C_S_AXI_DATA_WIDTH/8)-1 : 0] S_AXI_WSTRB,
- // Write valid. This signal indicates that valid write
- // data and strobes are available.
- input wire S_AXI_WVALID,
- // Write ready. This signal indicates that the slave
- // can accept the write data.
- output wire S_AXI_WREADY,
- // Write response. This signal indicates the status
- // of the write transaction.
- output wire [1 : 0] S_AXI_BRESP,
- // Write response valid. This signal indicates that the channel
- // is signaling a valid write response.
- output wire S_AXI_BVALID,
- // Response ready. This signal indicates that the master
- // can accept a write response.
- input wire S_AXI_BREADY,
- // Read address (issued by master, acceped by Slave)
- input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_ARADDR,
- // Protection type. This signal indicates the privilege
- // and security level of the transaction, and whether the
- // transaction is a data access or an instruction access.
- input wire [2 : 0] S_AXI_ARPROT,
- // Read address valid. This signal indicates that the channel
- // is signaling valid read address and control information.
- input wire S_AXI_ARVALID,
- // Read address ready. This signal indicates that the slave is
- // ready to accept an address and associated control signals.
- output wire S_AXI_ARREADY,
- // Read data (issued by slave)
- output wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_RDATA,
- // Read response. This signal indicates the status of the
- // read transfer.
- output wire [1 : 0] S_AXI_RRESP,
- // Read valid. This signal indicates that the channel is
- // signaling the required read data.
- output wire S_AXI_RVALID,
- // Read ready. This signal indicates that the master can
- // accept the read data and response information.
- input wire S_AXI_RREADY
- );
- // AXI4LITE signals
- reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_awaddr;
- reg axi_awready;
- reg axi_wready;
- reg [1 : 0] axi_bresp;
- reg axi_bvalid;
- reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_araddr;
- reg axi_arready;
- reg [C_S_AXI_DATA_WIDTH-1 : 0] axi_rdata;
- reg [1 : 0] axi_rresp;
- reg axi_rvalid;
- // Example-specific design signals
- // local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
- // ADDR_LSB is used for addressing 32/64 bit registers/memories
- // ADDR_LSB = 2 for 32 bits (n downto 2)
- // ADDR_LSB = 3 for 64 bits (n downto 3)
- localparam integer ADDR_LSB = (C_S_AXI_DATA_WIDTH/32) + 1;
- localparam integer OPT_MEM_ADDR_BITS = 3;
- //----------------------------------------------
- //-- Signals for user logic register space example
- //------------------------------------------------
- //-- Number of Slave Registers 10
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg0;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg1;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg2;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg3;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg4;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg5;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg6;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg7;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg8;
- reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg9;
- wire slv_reg_rden;
- wire slv_reg_wren;
- reg [C_S_AXI_DATA_WIDTH-1:0] reg_data_out;
- integer byte_index;
- reg [10:0] sad_result;
- reg [5:0] state;
- // I/O Connections assignments
- assign S_AXI_AWREADY = axi_awready;
- assign S_AXI_WREADY = axi_wready;
- assign S_AXI_BRESP = axi_bresp;
- assign S_AXI_BVALID = axi_bvalid;
- assign S_AXI_ARREADY = axi_arready;
- assign S_AXI_RDATA = axi_rdata;
- assign S_AXI_RRESP = axi_rresp;
- assign S_AXI_RVALID = axi_rvalid;
- // Implement axi_awready generation
- // axi_awready is asserted for one S_AXI_ACLK clock cycle when both
- // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
- // de-asserted when reset is low.
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_awready <= 1'b0;
- end
- else
- begin
- if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
- begin
- // slave is ready to accept write address when
- // there is a valid write address and write data
- // on the write address and data bus. This design
- // expects no outstanding transactions.
- axi_awready <= 1'b1;
- end
- else
- begin
- axi_awready <= 1'b0;
- end
- end
- end
- // Implement axi_awaddr latching
- // This process is used to latch the address when both
- // S_AXI_AWVALID and S_AXI_WVALID are valid.
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_awaddr <= 0;
- end
- else
- begin
- if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
- begin
- // Write Address latching
- axi_awaddr <= S_AXI_AWADDR;
- end
- end
- end
- // Implement axi_wready generation
- // axi_wready is asserted for one S_AXI_ACLK clock cycle when both
- // S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
- // de-asserted when reset is low.
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_wready <= 1'b0;
- end
- else
- begin
- if (~axi_wready && S_AXI_WVALID && S_AXI_AWVALID)
- begin
- // slave is ready to accept write data when
- // there is a valid write address and write data
- // on the write address and data bus. This design
- // expects no outstanding transactions.
- axi_wready <= 1'b1;
- end
- else
- begin
- axi_wready <= 1'b0;
- end
- end
- end
- // Implement memory mapped register select and write logic generation
- // The write data is accepted and written to memory mapped registers when
- // axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
- // select byte enables of slave registers while writing.
- // These registers are cleared when reset (active low) is applied.
- // Slave register write enable is asserted when valid address and data are available
- // and the slave is ready to accept the write address and write data.
- assign slv_reg_wren = axi_wready && S_AXI_WVALID && axi_awready && S_AXI_AWVALID;
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- slv_reg0 <= 0;
- slv_reg1 <= 0;
- slv_reg2 <= 0;
- slv_reg3 <= 0;
- slv_reg4 <= 0;
- slv_reg5 <= 0;
- slv_reg6 <= 0;
- slv_reg7 <= 0;
- slv_reg8 <= 0;
- slv_reg9 <= 0;
- end
- else begin
- if (state == 299) begin
- slv_reg9 <= sad_result;
- end
- else if (state == 300)
- slv_reg8 <= 0;
- else if (slv_reg_wren)
- begin
- case ( axi_awaddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
- 4'h0:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 0
- slv_reg0[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h1:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 1
- slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h2:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 2
- slv_reg2[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h3:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 3
- slv_reg3[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h4:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 4
- slv_reg4[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h5:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 5
- slv_reg5[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h6:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 6
- slv_reg6[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h7:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 7
- slv_reg7[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h8:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 8
- slv_reg8[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- 4'h9:
- for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
- if ( S_AXI_WSTRB[byte_index] == 1 ) begin
- // Respective byte enables are asserted as per write strobes
- // Slave register 9
- slv_reg9[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
- end
- default : begin
- slv_reg0 <= slv_reg0;
- slv_reg1 <= slv_reg1;
- slv_reg2 <= slv_reg2;
- slv_reg3 <= slv_reg3;
- slv_reg4 <= slv_reg4;
- slv_reg5 <= slv_reg5;
- slv_reg6 <= slv_reg6;
- slv_reg7 <= slv_reg7;
- slv_reg8 <= slv_reg8;
- slv_reg9 <= slv_reg9;
- end
- endcase
- end
- end
- end
- // Implement write response logic generation
- // The write response and response valid signals are asserted by the slave
- // when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
- // This marks the acceptance of address and indicates the status of
- // write transaction.
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_bvalid <= 0;
- axi_bresp <= 2'b0;
- end
- else
- begin
- if (axi_awready && S_AXI_AWVALID && ~axi_bvalid && axi_wready && S_AXI_WVALID)
- begin
- // indicates a valid write response is available
- axi_bvalid <= 1'b1;
- axi_bresp <= 2'b0; // 'OKAY' response
- end // work error responses in future
- else
- begin
- if (S_AXI_BREADY && axi_bvalid)
- //check if bready is asserted while bvalid is high)
- //(there is a possibility that bready is always asserted high)
- begin
- axi_bvalid <= 1'b0;
- end
- end
- end
- end
- // Implement axi_arready generation
- // axi_arready is asserted for one S_AXI_ACLK clock cycle when
- // S_AXI_ARVALID is asserted. axi_awready is
- // de-asserted when reset (active low) is asserted.
- // The read address is also latched when S_AXI_ARVALID is
- // asserted. axi_araddr is reset to zero on reset assertion.
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_arready <= 1'b0;
- axi_araddr <= 32'b0;
- end
- else
- begin
- if (~axi_arready && S_AXI_ARVALID)
- begin
- // indicates that the slave has acceped the valid read address
- axi_arready <= 1'b1;
- // Read address latching
- axi_araddr <= S_AXI_ARADDR;
- end
- else
- begin
- axi_arready <= 1'b0;
- end
- end
- end
- // Implement axi_arvalid generation
- // axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
- // S_AXI_ARVALID and axi_arready are asserted. The slave registers
- // data are available on the axi_rdata bus at this instance. The
- // assertion of axi_rvalid marks the validity of read data on the
- // bus and axi_rresp indicates the status of read transaction.axi_rvalid
- // is deasserted on reset (active low). axi_rresp and axi_rdata are
- // cleared to zero on reset (active low).
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_rvalid <= 0;
- axi_rresp <= 0;
- end
- else
- begin
- if (axi_arready && S_AXI_ARVALID && ~axi_rvalid)
- begin
- // Valid read data is available at the read data bus
- axi_rvalid <= 1'b1;
- axi_rresp <= 2'b0; // 'OKAY' response
- end
- else if (axi_rvalid && S_AXI_RREADY)
- begin
- // Read data is accepted by the master
- axi_rvalid <= 1'b0;
- end
- end
- end
- // Implement memory mapped register select and read logic generation
- // Slave register read enable is asserted when valid address is available
- // and the slave is ready to accept the read address.
- assign slv_reg_rden = axi_arready & S_AXI_ARVALID & ~axi_rvalid;
- always @(*)
- begin
- // Address decoding for reading registers
- case ( axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
- 4'h0 : reg_data_out <= slv_reg0;
- 4'h1 : reg_data_out <= slv_reg1;
- 4'h2 : reg_data_out <= slv_reg2;
- 4'h3 : reg_data_out <= slv_reg3;
- 4'h4 : reg_data_out <= slv_reg4;
- 4'h5 : reg_data_out <= slv_reg5;
- 4'h6 : reg_data_out <= slv_reg6;
- 4'h7 : reg_data_out <= slv_reg7;
- 4'h8 : reg_data_out <= slv_reg8;
- 4'h9 : reg_data_out <= slv_reg9;
- default : reg_data_out <= 0;
- endcase
- end
- // Output register or memory read data
- always @( posedge S_AXI_ACLK )
- begin
- if ( S_AXI_ARESETN == 1'b0 )
- begin
- axi_rdata <= 0;
- end
- else
- begin
- // When there is a valid read address (S_AXI_ARVALID) with
- // acceptance of read address by the slave (axi_arready),
- // output the read dada
- if (slv_reg_rden)
- begin
- axi_rdata <= reg_data_out; // register read data
- end
- end
- end
- // Add user logic here
- reg [8:0] abs_diff[0:3];
- wire [8:0] prev_pixel[0:4];
- wire [8:0] curr_pixel[0:4];
- wire [8:0] diff[0:4];
- assign prev_pixel[0] = {1'b0, slv_reg0[31:24]};
- assign prev_pixel[1] = {1'b0, slv_reg0[23:16]};
- assign prev_pixel[2] = {1'b0, slv_reg0[15:8]};
- assign prev_pixel[3] = {1'b0, slv_reg0[7:0]};
- assign prev_pixel[4] = {1'b0, slv_reg1[31:24]};
- assign prev_pixel[5] = {1'b0, slv_reg1[23:16]};
- assign prev_pixel[6] = {1'b0, slv_reg1[15:8]};
- assign prev_pixel[7] = {1'b0, slv_reg1[7:0]};
- assign prev_pixel[8] = {1'b0, slv_reg2[31:24]};
- assign prev_pixel[9] = {1'b0, slv_reg2[23:16]};
- assign prev_pixel[10] = {1'b0, slv_reg2[15:8]};
- assign prev_pixel[11] = {1'b0, slv_reg2[7:0]};
- assign prev_pixel[12] = {1'b0, slv_reg3[31:24]};
- assign prev_pixel[13] = {1'b0, slv_reg3[23:16]};
- assign prev_pixel[14] = {1'b0, slv_reg3[15:8]};
- assign prev_pixel[15] = {1'b0, slv_reg3[7:0]};
- assign curr_pixel[0] = {1'b0, slv_reg4[31:24]};
- assign curr_pixel[1] = {1'b0, slv_reg4[23:16]};
- assign curr_pixel[2] = {1'b0, slv_reg4[15:8]};
- assign curr_pixel[3] = {1'b0, slv_reg4[7:0]};
- assign curr_pixel[4] = {1'b0, slv_reg5[31:24]};
- assign curr_pixel[5] = {1'b0, slv_reg5[23:16]};
- assign curr_pixel[6] = {1'b0, slv_reg5[15:8]};
- assign curr_pixel[7] = {1'b0, slv_reg5[7:0]};
- assign curr_pixel[8] = {1'b0, slv_reg6[31:24]};
- assign curr_pixel[9] = {1'b0, slv_reg6[23:16]};
- assign curr_pixel[10] = {1'b0, slv_reg6[15:8]};
- assign curr_pixel[11] = {1'b0, slv_reg6[7:0]};
- assign curr_pixel[12] = {1'b0, slv_reg7[31:24]};
- assign curr_pixel[13] = {1'b0, slv_reg7[23:16]};
- assign curr_pixel[14] = {1'b0, slv_reg7[15:8]};
- assign curr_pixel[15] = {1'b0, slv_reg7[7:0]};
- assign diff[0] = prev_pixel[0] - curr_pixel[0];
- assign diff[1] = prev_pixel[1] - curr_pixel[1];
- assign diff[2] = prev_pixel[2] - curr_pixel[2];
- assign diff[3] = prev_pixel[3] - curr_pixel[3];
- assign diff[4] = prev_pixel[4] - curr_pixel[4];
- assign diff[5] = prev_pixel[5] - curr_pixel[5];
- assign diff[6] = prev_pixel[6] - curr_pixel[6];
- assign diff[7] = prev_pixel[7] - curr_pixel[7];
- assign diff[8] = prev_pixel[8] - curr_pixel[8];
- assign diff[9] = prev_pixel[9] - curr_pixel[9];
- assign diff[10] = prev_pixel[10] - curr_pixel[10];
- assign diff[11] = prev_pixel[11] - curr_pixel[11];
- assign diff[12] = prev_pixel[12] - curr_pixel[12];
- assign diff[13] = prev_pixel[13] - curr_pixel[13];
- assign diff[14] = prev_pixel[14] - curr_pixel[14];
- assign diff[15] = prev_pixel[15] - curr_pixel[15];
- always @(posedge S_AXI_ACLK)
- begin
- if (S_AXI_ARESETN == 1'b0) begin
- abs_diff[0] <= 0;
- abs_diff[1] <= 0;
- abs_diff[2] <= 0;
- abs_diff[3] <= 0;
- abs_diff[4] <= 0;
- abs_diff[5] <= 0;
- abs_diff[6] <= 0;
- abs_diff[7] <= 0;
- abs_diff[8] <= 0;
- abs_diff[9] <= 0;
- abs_diff[10] <= 0;
- abs_diff[11] <= 0;
- abs_diff[12] <= 0;
- abs_diff[13] <= 0;
- abs_diff[14] <= 0;
- abs_diff[15] <= 0;
- end
- else begin
- abs_diff[0] <= (diff[0][8] == 1'b1)? -diff[0] : diff[0];
- abs_diff[1] <= (diff[1][8] == 1'b1)? -diff[1] : diff[1];
- abs_diff[2] <= (diff[2][8] == 1'b1)? -diff[2] : diff[2];
- abs_diff[3] <= (diff[3][8] == 1'b1)? -diff[3] : diff[3];
- abs_diff[4] <= (diff[4][8] == 1'b1)? -diff[4] : diff[4];
- abs_diff[5] <= (diff[5][8] == 1'b1)? -diff[5] : diff[5];
- abs_diff[6] <= (diff[6][8] == 1'b1)? -diff[6] : diff[6];
- abs_diff[7] <= (diff[7][8] == 1'b1)? -diff[7] : diff[7];
- abs_diff[8] <= (diff[8][8] == 1'b1)? -diff[8] : diff[8];
- abs_diff[9] <= (diff[9][8] == 1'b1)? -diff[9] : diff[9];
- abs_diff[10] <= (diff[10][8] == 1'b1)? -diff[10] : diff[10];
- abs_diff[11] <= (diff[11][8] == 1'b1)? -diff[11] : diff[11];
- abs_diff[12] <= (diff[12][8] == 1'b1)? -diff[12] : diff[12];
- abs_diff[13] <= (diff[13][8] == 1'b1)? -diff[13] : diff[13];
- abs_diff[14] <= (diff[14][8] == 1'b1)? -diff[14] : diff[14];
- abs_diff[15] <= (diff[15][8] == 1'b1)? -diff[15] : diff[15];
- end
- end
- wire [9:0] partial_sum_1[0:7];
- wire [9:0] partial_sum_2[0:4];
- wire [9:0] partial_sum_3[0:2];
- assign partial_sum_1[0] = abs_diff[0] + abs_diff[1];
- assign partial_sum_1[1] = abs_diff[2] + abs_diff[3];
- assign partial_sum_1[2] = abs_diff[4] + abs_diff[5];
- assign partial_sum_1[3] = abs_diff[6] + abs_diff[7];
- assign partial_sum_1[4] = abs_diff[8] + abs_diff[9];
- assign partial_sum_1[5] = abs_diff[10] + abs_diff[11];
- assign partial_sum_1[6] = abs_diff[12] + abs_diff[13];
- assign partial_sum_1[7] = abs_diff[14] + abs_diff[15];
- assign partial_sum_2[0] = partial_sum_1[0] + partial_sum_1[1];
- assign partial_sum_2[1] = partial_sum_1[2] + partial_sum_1[3];
- assign partial_sum_2[2] = partial_sum_1[4] + partial_sum_1[5];
- assign partial_sum_2[3] = partial_sum_1[6] + partial_sum_1[7];
- assign partial_sum_3[0] = partial_sum_2[0] + partial_sum_2[1];
- assign partial_sum_3[1] = partial_sum_2[2] + partial_sum_2[3];
- //assign slv_reg9[10:0] = sad_result;
- always @(posedge S_AXI_ACLK) begin
- if (S_AXI_ARESETN == 1'b0) begin
- sad_result <= 0;
- end
- else begin
- sad_result <= partial_sum_3[0] + partial_sum_3[1];
- end
- end
- always @(posedge S_AXI_ACLK) begin
- if (S_AXI_ARESETN == 1'b0) begin
- state <= 0;
- end
- else if (slv_reg8) begin
- state <= state + 1;
- end
- else if (state > 300) begin
- state <= 0;
- end
- else begin
- state <= state;
- end
- end
- // User logic ends
- endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement