Advertisement
Guest User

Untitled

a guest
Apr 1st, 2020
150
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 15.58 KB | None | 0 0
  1. Release 14.7 - xst P.20131013 (lin64)
  2. Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
  3. -->
  4. Parameter TMPDIR set to xst/projnav.tmp
  5.  
  6.  
  7. Total REAL time to Xst completion: 0.00 secs
  8. Total CPU time to Xst completion: 0.03 secs
  9.  
  10. -->
  11. Parameter xsthdpdir set to xst
  12.  
  13.  
  14. Total REAL time to Xst completion: 0.00 secs
  15. Total CPU time to Xst completion: 0.03 secs
  16.  
  17. -->
  18. Reading design: fsm_tutor_3a.prj
  19.  
  20. TABLE OF CONTENTS
  21. 1) Synthesis Options Summary
  22. 2) HDL Parsing
  23. 3) HDL Elaboration
  24. 4) HDL Synthesis
  25. 4.1) HDL Synthesis Report
  26. 5) Advanced HDL Synthesis
  27. 5.1) Advanced HDL Synthesis Report
  28. 6) Low Level Synthesis
  29. 7) Partition Report
  30. 8) Design Summary
  31. 8.1) Primitive and Black Box Usage
  32. 8.2) Device utilization summary
  33. 8.3) Partition Resource Summary
  34. 8.4) Timing Report
  35. 8.4.1) Clock Information
  36. 8.4.2) Asynchronous Control Signals Information
  37. 8.4.3) Timing Summary
  38. 8.4.4) Timing Details
  39. 8.4.5) Cross Clock Domains Report
  40.  
  41.  
  42. =========================================================================
  43. * Synthesis Options Summary *
  44. =========================================================================
  45. ---- Source Parameters
  46. Input File Name : "fsm_tutor_3a.prj"
  47. Ignore Synthesis Constraint File : NO
  48.  
  49. ---- Target Parameters
  50. Output File Name : "fsm_tutor_3a"
  51. Output Format : NGC
  52. Target Device : xc7a100t-3-csg324
  53.  
  54. ---- Source Options
  55. Top Module Name : fsm_tutor_3a
  56. Automatic FSM Extraction : YES
  57. FSM Encoding Algorithm : Auto
  58. Safe Implementation : No
  59. FSM Style : LUT
  60. RAM Extraction : Yes
  61. RAM Style : Auto
  62. ROM Extraction : Yes
  63. Shift Register Extraction : YES
  64. ROM Style : Auto
  65. Resource Sharing : YES
  66. Asynchronous To Synchronous : NO
  67. Shift Register Minimum Size : 2
  68. Use DSP Block : Auto
  69. Automatic Register Balancing : No
  70.  
  71. ---- Target Options
  72. LUT Combining : Auto
  73. Reduce Control Sets : Auto
  74. Add IO Buffers : YES
  75. Global Maximum Fanout : 100000
  76. Add Generic Clock Buffer(BUFG) : 32
  77. Register Duplication : YES
  78. Optimize Instantiated Primitives : NO
  79. Use Clock Enable : Auto
  80. Use Synchronous Set : Auto
  81. Use Synchronous Reset : Auto
  82. Pack IO Registers into IOBs : Auto
  83. Equivalent register Removal : YES
  84.  
  85. ---- General Options
  86. Optimization Goal : Speed
  87. Optimization Effort : 1
  88. Power Reduction : NO
  89. Keep Hierarchy : No
  90. Netlist Hierarchy : As_Optimized
  91. RTL Output : Yes
  92. Global Optimization : AllClockNets
  93. Read Cores : YES
  94. Write Timing Constraints : NO
  95. Cross Clock Analysis : NO
  96. Hierarchy Separator : /
  97. Bus Delimiter : <>
  98. Case Specifier : Maintain
  99. Slice Utilization Ratio : 100
  100. BRAM Utilization Ratio : 100
  101. DSP48 Utilization Ratio : 100
  102. Auto BRAM Packing : NO
  103. Slice Utilization Ratio Delta : 5
  104.  
  105. =========================================================================
  106.  
  107.  
  108. =========================================================================
  109. * HDL Parsing *
  110. =========================================================================
  111. Parsing VHDL file "/home/ise/PUR_LAB3/fsm_tutor_3a.vhd" into library work
  112. Parsing entity <fsm_tutor_3a>.
  113. Parsing architecture <behav> of entity <fsm_tutor_3a>.
  114.  
  115. =========================================================================
  116. * HDL Elaboration *
  117. =========================================================================
  118.  
  119. Elaborating entity <fsm_tutor_3a> (architecture <behav>) from library <work>.
  120. WARNING:HDLCompiler:92 - "/home/ise/PUR_LAB3/fsm_tutor_3a.vhd" Line 42: end_of_range should be on the sensitivity list of the process
  121.  
  122. =========================================================================
  123. * HDL Synthesis *
  124. =========================================================================
  125.  
  126. Synthesizing Unit <fsm_tutor_3a>.
  127. Related source file is "/home/ise/PUR_LAB3/fsm_tutor_3a.vhd".
  128. Found 3-bit register for signal <p_state>.
  129. Found 3-bit register for signal <addr_mux_reg>.
  130. Found 1-bit register for signal <end_of_range>.
  131. Found finite state machine <FSM_0> for signal <p_state>.
  132. -----------------------------------------------------------------------
  133. | States | 6 |
  134. | Transitions | 11 |
  135. | Inputs | 5 |
  136. | Outputs | 5 |
  137. | Clock | clk (rising_edge) |
  138. | Reset | rst (positive) |
  139. | Reset type | asynchronous |
  140. | Reset State | setup |
  141. | Power Up State | setup |
  142. | Encoding | auto |
  143. | Implementation | LUT |
  144. -----------------------------------------------------------------------
  145. Summary:
  146. inferred 4 D-type flip-flop(s).
  147. inferred 1 Finite State Machine(s).
  148. Unit <fsm_tutor_3a> synthesized.
  149.  
  150. =========================================================================
  151. HDL Synthesis Report
  152.  
  153. Macro Statistics
  154. # Registers : 2
  155. 1-bit register : 1
  156. 3-bit register : 1
  157. # FSMs : 1
  158.  
  159. =========================================================================
  160.  
  161. =========================================================================
  162. * Advanced HDL Synthesis *
  163. =========================================================================
  164.  
  165.  
  166. =========================================================================
  167. Advanced HDL Synthesis Report
  168.  
  169. Macro Statistics
  170. # Registers : 4
  171. Flip-Flops : 4
  172. # FSMs : 1
  173.  
  174. =========================================================================
  175.  
  176. =========================================================================
  177. * Low Level Synthesis *
  178. =========================================================================
  179. Analyzing FSM <MFsm> for best encoding.
  180. Optimizing FSM <FSM_0> on signal <p_state[1:3]> with gray encoding.
  181. ------------------------
  182. State | Encoding
  183. ------------------------
  184. setup | 000
  185. measure | 011
  186. regwrite | 111
  187. outofrange | 010
  188. resync | 110
  189. start | 001
  190. ------------------------
  191.  
  192. Optimizing unit <fsm_tutor_3a> ...
  193.  
  194. Mapping all equations...
  195. Building and optimizing final netlist ...
  196. Found area constraint ratio of 100 (+ 5) on block fsm_tutor_3a, actual ratio is 0.
  197.  
  198. Final Macro Processing ...
  199.  
  200. =========================================================================
  201. Final Register Report
  202.  
  203. Macro Statistics
  204. # Registers : 7
  205. Flip-Flops : 7
  206.  
  207. =========================================================================
  208.  
  209. =========================================================================
  210. * Partition Report *
  211. =========================================================================
  212.  
  213. Partition Implementation Status
  214. -------------------------------
  215.  
  216. No Partitions were found in this design.
  217.  
  218. -------------------------------
  219.  
  220. =========================================================================
  221. * Design Summary *
  222. =========================================================================
  223.  
  224. Top Level Output File Name : fsm_tutor_3a.ngc
  225.  
  226. Primitive and Black Box Usage:
  227. ------------------------------
  228. # BELS : 11
  229. # LUT2 : 2
  230. # LUT3 : 2
  231. # LUT5 : 6
  232. # LUT6 : 1
  233. # FlipFlops/Latches : 7
  234. # FD : 4
  235. # FDC : 3
  236. # Clock Buffers : 1
  237. # BUFGP : 1
  238. # IO Buffers : 12
  239. # IBUF : 5
  240. # OBUF : 7
  241.  
  242. Device utilization summary:
  243. ---------------------------
  244.  
  245. Selected Device : 7a100tcsg324-3
  246.  
  247.  
  248. Slice Logic Utilization:
  249. Number of Slice Registers: 7 out of 126800 0%
  250. Number of Slice LUTs: 11 out of 63400 0%
  251. Number used as Logic: 11 out of 63400 0%
  252.  
  253. Slice Logic Distribution:
  254. Number of LUT Flip Flop pairs used: 11
  255. Number with an unused Flip Flop: 4 out of 11 36%
  256. Number with an unused LUT: 0 out of 11 0%
  257. Number of fully used LUT-FF pairs: 7 out of 11 63%
  258. Number of unique control sets: 2
  259.  
  260. IO Utilization:
  261. Number of IOs: 13
  262. Number of bonded IOBs: 13 out of 210 6%
  263.  
  264. Specific Feature Utilization:
  265. Number of BUFG/BUFGCTRLs: 1 out of 32 3%
  266.  
  267. ---------------------------
  268. Partition Resource Summary:
  269. ---------------------------
  270.  
  271. No Partitions were found in this design.
  272.  
  273. ---------------------------
  274.  
  275.  
  276. =========================================================================
  277. Timing Report
  278.  
  279. NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
  280. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
  281. GENERATED AFTER PLACE-and-ROUTE.
  282.  
  283. Clock Information:
  284. ------------------
  285. -----------------------------------+------------------------+-------+
  286. Clock Signal | Clock buffer(FF name) | Load |
  287. -----------------------------------+------------------------+-------+
  288. clk | BUFGP | 7 |
  289. -----------------------------------+------------------------+-------+
  290.  
  291. Asynchronous Control Signals Information:
  292. ----------------------------------------
  293. No asynchronous control signals found in this design
  294.  
  295. Timing Summary:
  296. ---------------
  297. Speed Grade: -3
  298.  
  299. Minimum period: 1.163ns (Maximum Frequency: 859.623MHz)
  300. Minimum input arrival time before clock: 0.803ns
  301. Maximum output required time after clock: 1.295ns
  302. Maximum combinational path delay: No path found
  303.  
  304. Timing Details:
  305. ---------------
  306. All values displayed in nanoseconds (ns)
  307.  
  308. =========================================================================
  309. Timing constraint: Default period analysis for Clock 'clk'
  310. Clock period: 1.163ns (frequency: 859.623MHz)
  311. Total number of paths / destination ports: 30 / 7
  312. -------------------------------------------------------------------------
  313. Delay: 1.163ns (Levels of Logic = 1)
  314. Source: addr_mux_reg_2 (FF)
  315. Destination: addr_mux_reg_2 (FF)
  316. Source Clock: clk rising
  317. Destination Clock: clk rising
  318.  
  319. Data Path: addr_mux_reg_2 to addr_mux_reg_2
  320. Gate Net
  321. Cell:in->out fanout Delay Delay Logical Name (Net Name)
  322. ---------------------------------------- ------------
  323. FD:C->Q 4 0.361 0.697 addr_mux_reg_2 (addr_mux_reg_2)
  324. LUT5:I0->O 1 0.097 0.000 addr_mux_reg_2_rstpot (addr_mux_reg_2_rstpot)
  325. FD:D 0.008 addr_mux_reg_2
  326. ----------------------------------------
  327. Total 1.163ns (0.466ns logic, 0.697ns route)
  328. (40.1% logic, 59.9% route)
  329.  
  330. =========================================================================
  331. Timing constraint: Default OFFSET IN BEFORE for Clock 'clk'
  332. Total number of paths / destination ports: 9 / 6
  333. -------------------------------------------------------------------------
  334. Offset: 0.803ns (Levels of Logic = 2)
  335. Source: ovf (PAD)
  336. Destination: p_state_FSM_FFd3 (FF)
  337. Destination Clock: clk rising
  338.  
  339. Data Path: ovf to p_state_FSM_FFd3
  340. Gate Net
  341. Cell:in->out fanout Delay Delay Logical Name (Net Name)
  342. ---------------------------------------- ------------
  343. IBUF:I->O 2 0.001 0.697 ovf_IBUF (ovf_IBUF)
  344. LUT6:I0->O 1 0.097 0.000 p_state_FSM_FFd3-In1 (p_state_FSM_FFd3-In)
  345. FDC:D 0.008 p_state_FSM_FFd3
  346. ----------------------------------------
  347. Total 0.803ns (0.106ns logic, 0.697ns route)
  348. (13.2% logic, 86.8% route)
  349.  
  350. =========================================================================
  351. Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
  352. Total number of paths / destination ports: 13 / 7
  353. -------------------------------------------------------------------------
  354. Offset: 1.295ns (Levels of Logic = 2)
  355. Source: p_state_FSM_FFd3 (FF)
  356. Destination: clr_en (PAD)
  357. Source Clock: clk rising
  358.  
  359. Data Path: p_state_FSM_FFd3 to clr_en
  360. Gate Net
  361. Cell:in->out fanout Delay Delay Logical Name (Net Name)
  362. ---------------------------------------- ------------
  363. FDC:C->Q 11 0.361 0.558 p_state_FSM_FFd3 (p_state_FSM_FFd3)
  364. LUT3:I0->O 1 0.097 0.279 p_state__n0042<1>1 (ovf_flag_OBUF)
  365. OBUF:I->O 0.000 ovf_flag_OBUF (ovf_flag)
  366. ----------------------------------------
  367. Total 1.295ns (0.458ns logic, 0.837ns route)
  368. (35.4% logic, 64.6% route)
  369.  
  370. =========================================================================
  371.  
  372. Cross Clock Domains Report:
  373. --------------------------
  374.  
  375. Clock to Setup on destination clock clk
  376. ---------------+---------+---------+---------+---------+
  377. | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
  378. Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
  379. ---------------+---------+---------+---------+---------+
  380. clk | 1.163| | | |
  381. ---------------+---------+---------+---------+---------+
  382.  
  383. =========================================================================
  384.  
  385.  
  386. Total REAL time to Xst completion: 5.00 secs
  387. Total CPU time to Xst completion: 4.64 secs
  388.  
  389. -->
  390.  
  391.  
  392. Total memory usage is 600492 kilobytes
  393.  
  394. Number of errors : 0 ( 0 filtered)
  395. Number of warnings : 1 ( 0 filtered)
  396. Number of infos : 0 ( 0 filtered)
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement