Advertisement
sumguytwitches

Untitled

Sep 11th, 2020 (edited)
148
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.63 KB | None | 0 0
  1. lock tgt to target:geoposition.
  2.  
  3. lock grnddist to (ship:geoposition:position - target:position):mag. Lock vstar to -(altitude - target:geoposition:terrainheight) / (grnddist / ship:groundspeed). lock tareta to grnddist / ship:groundspeed. lock grnddist to vang(target:position - body:position, -body:position)*constant:degtorad*body:radius. lock tareta to grnddist / ship:groundspeed.
  4.  
  5. set sggo to false. wait 1. set sgp to 0. set sggo to true. set sgf to 8. set sgpr to 4. function sgd { parameter l. parameter d. If l:length > sgpr set sgpr to l:length. print (l:padleft(sgpr) + ": " +round(d,2)):padright(terminal:width) at (0, sgp). set sgp to sgp + 1. }.
  6.  
  7. On round(kuniverse:realtime * sgf) { set sgp to 0. sgd("alt:radar", alt:radar). sgd("grnd distance", grnddist ). sgd("heading", tgt:heading). sgd("bearing", tgt:bearing). sgd("eta", tareta/60). sgd("VS", verticalspeed). sgd("fuel", ship:liquidfuel). Print "":padright(terminal:width) at (0,sgp). return sggo. }
  8.  
  9. set spid to pidloop(0.05, 0.02, 0.02, -1, 1). set steercancel to false. when true then { set ship:control:wheelsteer to spid:update(time:seconds, target:bearing). return not steercancel. }
  10.  
  11. set servos to ship:modulesnamed("ModuleRoboticRotationServo"). for s in servos s:setfield("traverse rate", 10).
  12.  
  13. function tilt { parameter tlt is 0. for s in servos s:setfield("target angle", tlt). }
  14. tilt().
  15.  
  16. list engines in es. for e in es e:activate().
  17. toggle ag1.
  18.  
  19. set sl to 5. lock throttle to (sl + 3 -airspeed)/10.
  20. lock x to tgt:heading.
  21. lock p to 0.
  22. lock steering to heading(x,p).
  23. lock throttle to -verticalspeed + 5.
  24. toggle ag3.
  25. when alt:radar > 20 then
  26. {
  27. tilt(5).
  28. }
  29.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement