Advertisement
Guest User

Untitled

a guest
Mar 31st, 2020
85
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.63 KB | None | 0 0
  1. module deserTest;
  2.  
  3. // Inputs
  4. reg [6:0] dataIn;
  5. reg clkIn;
  6. reg clkToOut;
  7.  
  8. // Outputs
  9. wire [23:0] dataOut;
  10. wire clkOut;
  11.  
  12. // Instantiate the Unit Under Test (UUT)
  13. deser7_24 uut (
  14. .dataIn(dataIn),
  15. .clkIn(clkIn),
  16. .clkToOut(clkToOut),
  17. .dataOut(dataOut),
  18. .clkOut(clkOut)
  19. );
  20.  
  21. initial begin
  22. // Initialize Inputs
  23. dataIn = 7'b1100111;
  24. clkIn = 1;
  25. clkToOut = 0;
  26.  
  27. // Wait 100 ns for global reset to finish
  28. #100;
  29.  
  30. // Add stimulus here
  31.  
  32. end
  33. always begin #7 clkIn = ~clkIn; end
  34. always begin #24 clkToOut = ~clkToOut; end
  35. always begin #203 dataIn = 7'b1100111;end
  36.  
  37.  
  38. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement