JayAurabind

VexRiscv.v SpinalHDL generated

Feb 24th, 2019
145
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VeriLog 94.50 KB | None | 0 0
  1. // Generator : SpinalHDL v1.3.1    git head : 9fe87c98746a5306cb1d5a828db7af3137723649
  2. // Date      : 24/02/2019, 12:36:07
  3. // Component : VexRiscv
  4.  
  5.  
  6. `define AluCtrlEnum_defaultEncoding_type [1:0]
  7. `define AluCtrlEnum_defaultEncoding_ADD_SUB 2'b00
  8. `define AluCtrlEnum_defaultEncoding_SLT_SLTU 2'b01
  9. `define AluCtrlEnum_defaultEncoding_BITWISE 2'b10
  10.  
  11. `define ShiftCtrlEnum_defaultEncoding_type [1:0]
  12. `define ShiftCtrlEnum_defaultEncoding_DISABLE_1 2'b00
  13. `define ShiftCtrlEnum_defaultEncoding_SLL_1 2'b01
  14. `define ShiftCtrlEnum_defaultEncoding_SRL_1 2'b10
  15. `define ShiftCtrlEnum_defaultEncoding_SRA_1 2'b11
  16.  
  17. `define AluBitwiseCtrlEnum_defaultEncoding_type [1:0]
  18. `define AluBitwiseCtrlEnum_defaultEncoding_XOR_1 2'b00
  19. `define AluBitwiseCtrlEnum_defaultEncoding_OR_1 2'b01
  20. `define AluBitwiseCtrlEnum_defaultEncoding_AND_1 2'b10
  21. `define AluBitwiseCtrlEnum_defaultEncoding_SRC1 2'b11
  22.  
  23. `define BranchCtrlEnum_defaultEncoding_type [1:0]
  24. `define BranchCtrlEnum_defaultEncoding_INC 2'b00
  25. `define BranchCtrlEnum_defaultEncoding_B 2'b01
  26. `define BranchCtrlEnum_defaultEncoding_JAL 2'b10
  27. `define BranchCtrlEnum_defaultEncoding_JALR 2'b11
  28.  
  29. `define Src2CtrlEnum_defaultEncoding_type [1:0]
  30. `define Src2CtrlEnum_defaultEncoding_RS 2'b00
  31. `define Src2CtrlEnum_defaultEncoding_IMI 2'b01
  32. `define Src2CtrlEnum_defaultEncoding_IMS 2'b10
  33. `define Src2CtrlEnum_defaultEncoding_PC 2'b11
  34.  
  35. `define Src1CtrlEnum_defaultEncoding_type [1:0]
  36. `define Src1CtrlEnum_defaultEncoding_RS 2'b00
  37. `define Src1CtrlEnum_defaultEncoding_IMU 2'b01
  38. `define Src1CtrlEnum_defaultEncoding_PC_INCREMENT 2'b10
  39. `define Src1CtrlEnum_defaultEncoding_URS1 2'b11
  40.  
  41. module StreamFifoLowLatency (
  42.       input   io_push_valid,
  43.       output  io_push_ready,
  44.       input   io_push_payload_error,
  45.       input  [31:0] io_push_payload_inst,
  46.       output reg  io_pop_valid,
  47.       input   io_pop_ready,
  48.       output reg  io_pop_payload_error,
  49.       output reg [31:0] io_pop_payload_inst,
  50.       input   io_flush,
  51.       output [0:0] io_occupancy,
  52.       input   clk,
  53.       input   reset);
  54.   wire  _zz_5_;
  55.   wire [0:0] _zz_6_;
  56.   reg  _zz_1_;
  57.   reg  pushPtr_willIncrement;
  58.   reg  pushPtr_willClear;
  59.   wire  pushPtr_willOverflowIfInc;
  60.   wire  pushPtr_willOverflow;
  61.   reg  popPtr_willIncrement;
  62.   reg  popPtr_willClear;
  63.   wire  popPtr_willOverflowIfInc;
  64.   wire  popPtr_willOverflow;
  65.   wire  ptrMatch;
  66.   reg  risingOccupancy;
  67.   wire  empty;
  68.   wire  full;
  69.   wire  pushing;
  70.   wire  popping;
  71.   wire [32:0] _zz_2_;
  72.   wire [32:0] _zz_3_;
  73.   reg [32:0] _zz_4_;
  74.   assign _zz_5_ = (! empty);
  75.   assign _zz_6_ = _zz_2_[0 : 0];
  76.   always @ (*) begin
  77.     _zz_1_ = 1'b0;
  78.     if(pushing)begin
  79.       _zz_1_ = 1'b1;
  80.     end
  81.   end
  82.  
  83.   always @ (*) begin
  84.     pushPtr_willIncrement = 1'b0;
  85.     if(pushing)begin
  86.       pushPtr_willIncrement = 1'b1;
  87.     end
  88.   end
  89.  
  90.   always @ (*) begin
  91.     pushPtr_willClear = 1'b0;
  92.     if(io_flush)begin
  93.       pushPtr_willClear = 1'b1;
  94.     end
  95.   end
  96.  
  97.   assign pushPtr_willOverflowIfInc = 1'b1;
  98.   assign pushPtr_willOverflow = (pushPtr_willOverflowIfInc && pushPtr_willIncrement);
  99.   always @ (*) begin
  100.     popPtr_willIncrement = 1'b0;
  101.     if(popping)begin
  102.       popPtr_willIncrement = 1'b1;
  103.     end
  104.   end
  105.  
  106.   always @ (*) begin
  107.     popPtr_willClear = 1'b0;
  108.     if(io_flush)begin
  109.       popPtr_willClear = 1'b1;
  110.     end
  111.   end
  112.  
  113.   assign popPtr_willOverflowIfInc = 1'b1;
  114.   assign popPtr_willOverflow = (popPtr_willOverflowIfInc && popPtr_willIncrement);
  115.   assign ptrMatch = 1'b1;
  116.   assign empty = (ptrMatch && (! risingOccupancy));
  117.   assign full = (ptrMatch && risingOccupancy);
  118.   assign pushing = (io_push_valid && io_push_ready);
  119.   assign popping = (io_pop_valid && io_pop_ready);
  120.   assign io_push_ready = (! full);
  121.   always @ (*) begin
  122.     if(_zz_5_)begin
  123.       io_pop_valid = 1'b1;
  124.     end else begin
  125.       io_pop_valid = io_push_valid;
  126.     end
  127.   end
  128.  
  129.   assign _zz_2_ = _zz_3_;
  130.   always @ (*) begin
  131.     if(_zz_5_)begin
  132.       io_pop_payload_error = _zz_6_[0];
  133.     end else begin
  134.       io_pop_payload_error = io_push_payload_error;
  135.     end
  136.   end
  137.  
  138.   always @ (*) begin
  139.     if(_zz_5_)begin
  140.       io_pop_payload_inst = _zz_2_[32 : 1];
  141.     end else begin
  142.       io_pop_payload_inst = io_push_payload_inst;
  143.     end
  144.   end
  145.  
  146.   assign io_occupancy = (risingOccupancy && ptrMatch);
  147.   assign _zz_3_ = _zz_4_;
  148.   always @ (posedge clk or posedge reset) begin
  149.     if (reset) begin
  150.       risingOccupancy <= 1'b0;
  151.     end else begin
  152.       if((pushing != popping))begin
  153.         risingOccupancy <= pushing;
  154.       end
  155.       if(io_flush)begin
  156.         risingOccupancy <= 1'b0;
  157.       end
  158.     end
  159.   end
  160.  
  161.   always @ (posedge clk) begin
  162.     if(_zz_1_)begin
  163.       _zz_4_ <= {io_push_payload_inst,io_push_payload_error};
  164.     end
  165.   end
  166.  
  167. endmodule
  168.  
  169. module VexRiscv (
  170.       output  iBus_cmd_valid,
  171.       input   iBus_cmd_ready,
  172.       output [31:0] iBus_cmd_payload_pc,
  173.       input   iBus_rsp_valid,
  174.       input   iBus_rsp_payload_error,
  175.       input  [31:0] iBus_rsp_payload_inst,
  176.       output  dBus_cmd_valid,
  177.       input   dBus_cmd_ready,
  178.       output  dBus_cmd_payload_wr,
  179.       output [31:0] dBus_cmd_payload_address,
  180.       output [31:0] dBus_cmd_payload_data,
  181.       output [1:0] dBus_cmd_payload_size,
  182.       input   dBus_rsp_ready,
  183.       input   dBus_rsp_error,
  184.       input  [31:0] dBus_rsp_data,
  185.       input   clk,
  186.       input   reset);
  187.   wire  _zz_113_;
  188.   reg [31:0] _zz_114_;
  189.   reg [31:0] _zz_115_;
  190.   wire  IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready;
  191.   wire  IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid;
  192.   wire  IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error;
  193.   wire [31:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst;
  194.   wire [0:0] IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy;
  195.   wire  _zz_116_;
  196.   wire  _zz_117_;
  197.   wire  _zz_118_;
  198.   wire  _zz_119_;
  199.   wire  _zz_120_;
  200.   wire  _zz_121_;
  201.   wire  _zz_122_;
  202.   wire  _zz_123_;
  203.   wire  _zz_124_;
  204.   wire [1:0] _zz_125_;
  205.   wire [2:0] _zz_126_;
  206.   wire [31:0] _zz_127_;
  207.   wire [2:0] _zz_128_;
  208.   wire [0:0] _zz_129_;
  209.   wire [2:0] _zz_130_;
  210.   wire [0:0] _zz_131_;
  211.   wire [2:0] _zz_132_;
  212.   wire [0:0] _zz_133_;
  213.   wire [2:0] _zz_134_;
  214.   wire [0:0] _zz_135_;
  215.   wire [2:0] _zz_136_;
  216.   wire [0:0] _zz_137_;
  217.   wire [0:0] _zz_138_;
  218.   wire [0:0] _zz_139_;
  219.   wire [0:0] _zz_140_;
  220.   wire [0:0] _zz_141_;
  221.   wire [0:0] _zz_142_;
  222.   wire [0:0] _zz_143_;
  223.   wire [0:0] _zz_144_;
  224.   wire [0:0] _zz_145_;
  225.   wire [2:0] _zz_146_;
  226.   wire [4:0] _zz_147_;
  227.   wire [11:0] _zz_148_;
  228.   wire [11:0] _zz_149_;
  229.   wire [31:0] _zz_150_;
  230.   wire [31:0] _zz_151_;
  231.   wire [31:0] _zz_152_;
  232.   wire [31:0] _zz_153_;
  233.   wire [1:0] _zz_154_;
  234.   wire [31:0] _zz_155_;
  235.   wire [1:0] _zz_156_;
  236.   wire [1:0] _zz_157_;
  237.   wire [31:0] _zz_158_;
  238.   wire [32:0] _zz_159_;
  239.   wire [19:0] _zz_160_;
  240.   wire [11:0] _zz_161_;
  241.   wire [11:0] _zz_162_;
  242.   wire  _zz_163_;
  243.   wire  _zz_164_;
  244.   wire [31:0] _zz_165_;
  245.   wire [31:0] _zz_166_;
  246.   wire [31:0] _zz_167_;
  247.   wire [31:0] _zz_168_;
  248.   wire [0:0] _zz_169_;
  249.   wire [0:0] _zz_170_;
  250.   wire [2:0] _zz_171_;
  251.   wire [2:0] _zz_172_;
  252.   wire  _zz_173_;
  253.   wire [0:0] _zz_174_;
  254.   wire [14:0] _zz_175_;
  255.   wire [31:0] _zz_176_;
  256.   wire  _zz_177_;
  257.   wire  _zz_178_;
  258.   wire [31:0] _zz_179_;
  259.   wire [31:0] _zz_180_;
  260.   wire [0:0] _zz_181_;
  261.   wire [0:0] _zz_182_;
  262.   wire [0:0] _zz_183_;
  263.   wire [0:0] _zz_184_;
  264.   wire  _zz_185_;
  265.   wire [0:0] _zz_186_;
  266.   wire [11:0] _zz_187_;
  267.   wire [31:0] _zz_188_;
  268.   wire [31:0] _zz_189_;
  269.   wire [31:0] _zz_190_;
  270.   wire [31:0] _zz_191_;
  271.   wire [0:0] _zz_192_;
  272.   wire [0:0] _zz_193_;
  273.   wire [1:0] _zz_194_;
  274.   wire [1:0] _zz_195_;
  275.   wire  _zz_196_;
  276.   wire [0:0] _zz_197_;
  277.   wire [8:0] _zz_198_;
  278.   wire [31:0] _zz_199_;
  279.   wire [31:0] _zz_200_;
  280.   wire [31:0] _zz_201_;
  281.   wire [31:0] _zz_202_;
  282.   wire  _zz_203_;
  283.   wire [0:0] _zz_204_;
  284.   wire [0:0] _zz_205_;
  285.   wire  _zz_206_;
  286.   wire [0:0] _zz_207_;
  287.   wire [0:0] _zz_208_;
  288.   wire  _zz_209_;
  289.   wire [0:0] _zz_210_;
  290.   wire [4:0] _zz_211_;
  291.   wire [31:0] _zz_212_;
  292.   wire [31:0] _zz_213_;
  293.   wire [31:0] _zz_214_;
  294.   wire [31:0] _zz_215_;
  295.   wire [31:0] _zz_216_;
  296.   wire [0:0] _zz_217_;
  297.   wire [1:0] _zz_218_;
  298.   wire [0:0] _zz_219_;
  299.   wire [0:0] _zz_220_;
  300.   wire  _zz_221_;
  301.   wire [0:0] _zz_222_;
  302.   wire [1:0] _zz_223_;
  303.   wire [31:0] _zz_224_;
  304.   wire [31:0] _zz_225_;
  305.   wire [31:0] _zz_226_;
  306.   wire [31:0] _zz_227_;
  307.   wire [31:0] _zz_228_;
  308.   wire [31:0] _zz_229_;
  309.   wire [0:0] _zz_230_;
  310.   wire [0:0] _zz_231_;
  311.   wire [2:0] _zz_232_;
  312.   wire [2:0] _zz_233_;
  313.   wire `AluCtrlEnum_defaultEncoding_type decode_ALU_CTRL;
  314.   wire `AluCtrlEnum_defaultEncoding_type _zz_1_;
  315.   wire `AluCtrlEnum_defaultEncoding_type _zz_2_;
  316.   wire `AluCtrlEnum_defaultEncoding_type _zz_3_;
  317.   wire [31:0] execute_BRANCH_CALC;
  318.   wire `ShiftCtrlEnum_defaultEncoding_type decode_SHIFT_CTRL;
  319.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_4_;
  320.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_5_;
  321.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_6_;
  322.   wire [31:0] decode_RS1;
  323.   wire [31:0] memory_MEMORY_READ_DATA;
  324.   wire [31:0] writeBack_REGFILE_WRITE_DATA;
  325.   wire [31:0] execute_REGFILE_WRITE_DATA;
  326.   wire `AluBitwiseCtrlEnum_defaultEncoding_type decode_ALU_BITWISE_CTRL;
  327.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_7_;
  328.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_8_;
  329.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_9_;
  330.   wire  execute_BRANCH_DO;
  331.   wire [31:0] decode_SRC1;
  332.   wire [31:0] decode_RS2;
  333.   wire  decode_SRC_USE_SUB_LESS;
  334.   wire [31:0] memory_PC;
  335.   wire  decode_BYPASSABLE_EXECUTE_STAGE;
  336.   wire  execute_BYPASSABLE_MEMORY_STAGE;
  337.   wire  decode_BYPASSABLE_MEMORY_STAGE;
  338.   wire  decode_SRC_LESS_UNSIGNED;
  339.   wire [1:0] memory_MEMORY_ADDRESS_LOW;
  340.   wire [1:0] execute_MEMORY_ADDRESS_LOW;
  341.   wire [31:0] memory_FORMAL_PC_NEXT;
  342.   wire [31:0] execute_FORMAL_PC_NEXT;
  343.   wire [31:0] decode_FORMAL_PC_NEXT;
  344.   wire [31:0] decode_SRC2;
  345.   wire  decode_MEMORY_ENABLE;
  346.   wire `BranchCtrlEnum_defaultEncoding_type decode_BRANCH_CTRL;
  347.   wire `BranchCtrlEnum_defaultEncoding_type _zz_10_;
  348.   wire `BranchCtrlEnum_defaultEncoding_type _zz_11_;
  349.   wire `BranchCtrlEnum_defaultEncoding_type _zz_12_;
  350.   wire [31:0] memory_BRANCH_CALC;
  351.   wire  memory_BRANCH_DO;
  352.   wire [31:0] _zz_13_;
  353.   wire [31:0] execute_PC;
  354.   wire [31:0] execute_RS1;
  355.   wire `BranchCtrlEnum_defaultEncoding_type execute_BRANCH_CTRL;
  356.   wire `BranchCtrlEnum_defaultEncoding_type _zz_14_;
  357.   wire  _zz_15_;
  358.   wire  decode_RS2_USE;
  359.   wire  decode_RS1_USE;
  360.   wire  execute_REGFILE_WRITE_VALID;
  361.   wire  execute_BYPASSABLE_EXECUTE_STAGE;
  362.   wire  memory_REGFILE_WRITE_VALID;
  363.   wire  memory_BYPASSABLE_MEMORY_STAGE;
  364.   wire  writeBack_REGFILE_WRITE_VALID;
  365.   reg [31:0] _zz_16_;
  366.   wire [31:0] memory_REGFILE_WRITE_DATA;
  367.   wire `ShiftCtrlEnum_defaultEncoding_type execute_SHIFT_CTRL;
  368.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_17_;
  369.   wire  _zz_18_;
  370.   wire [31:0] _zz_19_;
  371.   wire [31:0] _zz_20_;
  372.   wire  execute_SRC_LESS_UNSIGNED;
  373.   wire  execute_SRC_USE_SUB_LESS;
  374.   wire [31:0] _zz_21_;
  375.   wire [31:0] _zz_22_;
  376.   wire `Src2CtrlEnum_defaultEncoding_type decode_SRC2_CTRL;
  377.   wire `Src2CtrlEnum_defaultEncoding_type _zz_23_;
  378.   wire [31:0] _zz_24_;
  379.   wire [31:0] _zz_25_;
  380.   wire `Src1CtrlEnum_defaultEncoding_type decode_SRC1_CTRL;
  381.   wire `Src1CtrlEnum_defaultEncoding_type _zz_26_;
  382.   wire [31:0] _zz_27_;
  383.   wire [31:0] execute_SRC_ADD_SUB;
  384.   wire  execute_SRC_LESS;
  385.   wire `AluCtrlEnum_defaultEncoding_type execute_ALU_CTRL;
  386.   wire `AluCtrlEnum_defaultEncoding_type _zz_28_;
  387.   wire [31:0] _zz_29_;
  388.   wire [31:0] execute_SRC2;
  389.   wire [31:0] execute_SRC1;
  390.   wire `AluBitwiseCtrlEnum_defaultEncoding_type execute_ALU_BITWISE_CTRL;
  391.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_30_;
  392.   wire [31:0] _zz_31_;
  393.   wire  _zz_32_;
  394.   reg  _zz_33_;
  395.   wire [31:0] _zz_34_;
  396.   wire [31:0] _zz_35_;
  397.   wire [31:0] decode_INSTRUCTION_ANTICIPATED;
  398.   reg  decode_REGFILE_WRITE_VALID;
  399.   wire  _zz_36_;
  400.   wire  _zz_37_;
  401.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_38_;
  402.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_39_;
  403.   wire `Src1CtrlEnum_defaultEncoding_type _zz_40_;
  404.   wire `Src2CtrlEnum_defaultEncoding_type _zz_41_;
  405.   wire  _zz_42_;
  406.   wire  _zz_43_;
  407.   wire  _zz_44_;
  408.   wire  _zz_45_;
  409.   wire `AluCtrlEnum_defaultEncoding_type _zz_46_;
  410.   wire  _zz_47_;
  411.   wire `BranchCtrlEnum_defaultEncoding_type _zz_48_;
  412.   wire  _zz_49_;
  413.   reg [31:0] _zz_50_;
  414.   wire  writeBack_MEMORY_ENABLE;
  415.   wire [1:0] writeBack_MEMORY_ADDRESS_LOW;
  416.   wire [31:0] writeBack_MEMORY_READ_DATA;
  417.   wire [31:0] memory_INSTRUCTION;
  418.   wire  memory_MEMORY_ENABLE;
  419.   wire [31:0] _zz_51_;
  420.   wire [1:0] _zz_52_;
  421.   wire [31:0] execute_RS2;
  422.   wire [31:0] execute_SRC_ADD;
  423.   wire [31:0] execute_INSTRUCTION;
  424.   wire  execute_ALIGNEMENT_FAULT;
  425.   wire  execute_MEMORY_ENABLE;
  426.   wire [31:0] _zz_53_;
  427.   wire [31:0] _zz_54_;
  428.   wire [31:0] _zz_55_;
  429.   wire [31:0] _zz_56_;
  430.   wire [31:0] writeBack_PC /* verilator public */ ;
  431.   wire [31:0] writeBack_INSTRUCTION /* verilator public */ ;
  432.   wire [31:0] decode_PC /* verilator public */ ;
  433.   wire [31:0] decode_INSTRUCTION /* verilator public */ ;
  434.   wire  decode_arbitration_haltItself /* verilator public */ ;
  435.   reg  decode_arbitration_haltByOther;
  436.   reg  decode_arbitration_removeIt;
  437.   wire  decode_arbitration_flushAll /* verilator public */ ;
  438.   wire  decode_arbitration_redoIt;
  439.   wire  decode_arbitration_isValid /* verilator public */ ;
  440.   wire  decode_arbitration_isStuck;
  441.   wire  decode_arbitration_isStuckByOthers;
  442.   wire  decode_arbitration_isFlushed;
  443.   wire  decode_arbitration_isMoving;
  444.   wire  decode_arbitration_isFiring;
  445.   reg  execute_arbitration_haltItself;
  446.   wire  execute_arbitration_haltByOther;
  447.   reg  execute_arbitration_removeIt;
  448.   reg  execute_arbitration_flushAll;
  449.   wire  execute_arbitration_redoIt;
  450.   reg  execute_arbitration_isValid;
  451.   wire  execute_arbitration_isStuck;
  452.   wire  execute_arbitration_isStuckByOthers;
  453.   wire  execute_arbitration_isFlushed;
  454.   wire  execute_arbitration_isMoving;
  455.   wire  execute_arbitration_isFiring;
  456.   reg  memory_arbitration_haltItself;
  457.   wire  memory_arbitration_haltByOther;
  458.   reg  memory_arbitration_removeIt;
  459.   wire  memory_arbitration_flushAll;
  460.   wire  memory_arbitration_redoIt;
  461.   reg  memory_arbitration_isValid;
  462.   wire  memory_arbitration_isStuck;
  463.   wire  memory_arbitration_isStuckByOthers;
  464.   wire  memory_arbitration_isFlushed;
  465.   wire  memory_arbitration_isMoving;
  466.   wire  memory_arbitration_isFiring;
  467.   wire  writeBack_arbitration_haltItself;
  468.   wire  writeBack_arbitration_haltByOther;
  469.   reg  writeBack_arbitration_removeIt;
  470.   wire  writeBack_arbitration_flushAll;
  471.   wire  writeBack_arbitration_redoIt;
  472.   reg  writeBack_arbitration_isValid /* verilator public */ ;
  473.   wire  writeBack_arbitration_isStuck;
  474.   wire  writeBack_arbitration_isStuckByOthers;
  475.   wire  writeBack_arbitration_isFlushed;
  476.   wire  writeBack_arbitration_isMoving;
  477.   wire  writeBack_arbitration_isFiring /* verilator public */ ;
  478.   wire  _zz_57_;
  479.   wire  _zz_58_;
  480.   wire  IBusSimplePlugin_jump_pcLoad_valid;
  481.   wire [31:0] IBusSimplePlugin_jump_pcLoad_payload;
  482.   wire  IBusSimplePlugin_fetchPc_preOutput_valid;
  483.   wire  IBusSimplePlugin_fetchPc_preOutput_ready;
  484.   wire [31:0] IBusSimplePlugin_fetchPc_preOutput_payload;
  485.   wire  _zz_59_;
  486.   wire  IBusSimplePlugin_fetchPc_output_valid;
  487.   wire  IBusSimplePlugin_fetchPc_output_ready;
  488.   wire [31:0] IBusSimplePlugin_fetchPc_output_payload;
  489.   reg [31:0] IBusSimplePlugin_fetchPc_pcReg /* verilator public */ ;
  490.   reg  IBusSimplePlugin_fetchPc_inc;
  491.   reg  IBusSimplePlugin_fetchPc_propagatePc;
  492.   reg [31:0] IBusSimplePlugin_fetchPc_pc;
  493.   reg  IBusSimplePlugin_fetchPc_samplePcNext;
  494.   reg  _zz_60_;
  495.   wire  IBusSimplePlugin_iBusRsp_stages_0_input_valid;
  496.   wire  IBusSimplePlugin_iBusRsp_stages_0_input_ready;
  497.   wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_input_payload;
  498.   wire  IBusSimplePlugin_iBusRsp_stages_0_output_valid;
  499.   wire  IBusSimplePlugin_iBusRsp_stages_0_output_ready;
  500.   wire [31:0] IBusSimplePlugin_iBusRsp_stages_0_output_payload;
  501.   reg  IBusSimplePlugin_iBusRsp_stages_0_halt;
  502.   wire  IBusSimplePlugin_iBusRsp_stages_0_inputSample;
  503.   wire  IBusSimplePlugin_iBusRsp_stages_1_input_valid;
  504.   wire  IBusSimplePlugin_iBusRsp_stages_1_input_ready;
  505.   wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_input_payload;
  506.   wire  IBusSimplePlugin_iBusRsp_stages_1_output_valid;
  507.   wire  IBusSimplePlugin_iBusRsp_stages_1_output_ready;
  508.   wire [31:0] IBusSimplePlugin_iBusRsp_stages_1_output_payload;
  509.   wire  IBusSimplePlugin_iBusRsp_stages_1_halt;
  510.   wire  IBusSimplePlugin_iBusRsp_stages_1_inputSample;
  511.   wire  _zz_61_;
  512.   wire  _zz_62_;
  513.   wire  _zz_63_;
  514.   wire  _zz_64_;
  515.   reg  _zz_65_;
  516.   reg  IBusSimplePlugin_iBusRsp_readyForError;
  517.   wire  IBusSimplePlugin_iBusRsp_inputBeforeStage_valid;
  518.   wire  IBusSimplePlugin_iBusRsp_inputBeforeStage_ready;
  519.   wire [31:0] IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_pc;
  520.   wire  IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_error;
  521.   wire [31:0] IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_raw;
  522.   wire  IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_isRvc;
  523.   wire  IBusSimplePlugin_injector_decodeInput_valid;
  524.   wire  IBusSimplePlugin_injector_decodeInput_ready;
  525.   wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_pc;
  526.   wire  IBusSimplePlugin_injector_decodeInput_payload_rsp_error;
  527.   wire [31:0] IBusSimplePlugin_injector_decodeInput_payload_rsp_inst;
  528.   wire  IBusSimplePlugin_injector_decodeInput_payload_isRvc;
  529.   reg  _zz_66_;
  530.   reg [31:0] _zz_67_;
  531.   reg  _zz_68_;
  532.   reg [31:0] _zz_69_;
  533.   reg  _zz_70_;
  534.   reg  IBusSimplePlugin_injector_nextPcCalc_valids_0;
  535.   reg  IBusSimplePlugin_injector_nextPcCalc_0;
  536.   reg  IBusSimplePlugin_injector_nextPcCalc_1;
  537.   reg  IBusSimplePlugin_injector_nextPcCalc_2;
  538.   reg  IBusSimplePlugin_injector_nextPcCalc_3;
  539.   reg  IBusSimplePlugin_injector_decodeRemoved;
  540.   reg [31:0] IBusSimplePlugin_injector_formal_rawInDecode;
  541.   wire  IBusSimplePlugin_cmd_valid;
  542.   wire  IBusSimplePlugin_cmd_ready;
  543.   wire [31:0] IBusSimplePlugin_cmd_payload_pc;
  544.   reg [2:0] IBusSimplePlugin_pendingCmd;
  545.   wire [2:0] IBusSimplePlugin_pendingCmdNext;
  546.   reg [2:0] IBusSimplePlugin_rspJoin_discardCounter;
  547.   wire  IBusSimplePlugin_rspJoin_rspBufferOutput_valid;
  548.   wire  IBusSimplePlugin_rspJoin_rspBufferOutput_ready;
  549.   wire  IBusSimplePlugin_rspJoin_rspBufferOutput_payload_error;
  550.   wire [31:0] IBusSimplePlugin_rspJoin_rspBufferOutput_payload_inst;
  551.   wire  iBus_rsp_takeWhen_valid;
  552.   wire  iBus_rsp_takeWhen_payload_error;
  553.   wire [31:0] iBus_rsp_takeWhen_payload_inst;
  554.   wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_pc;
  555.   reg  IBusSimplePlugin_rspJoin_fetchRsp_rsp_error;
  556.   wire [31:0] IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst;
  557.   wire  IBusSimplePlugin_rspJoin_fetchRsp_isRvc;
  558.   wire  IBusSimplePlugin_rspJoin_issueDetected;
  559.   wire  IBusSimplePlugin_rspJoin_join_valid;
  560.   wire  IBusSimplePlugin_rspJoin_join_ready;
  561.   wire [31:0] IBusSimplePlugin_rspJoin_join_payload_pc;
  562.   wire  IBusSimplePlugin_rspJoin_join_payload_rsp_error;
  563.   wire [31:0] IBusSimplePlugin_rspJoin_join_payload_rsp_inst;
  564.   wire  IBusSimplePlugin_rspJoin_join_payload_isRvc;
  565.   wire  _zz_71_;
  566.   wire  execute_DBusSimplePlugin_cmdSent;
  567.   reg [31:0] _zz_72_;
  568.   reg [3:0] _zz_73_;
  569.   wire [3:0] execute_DBusSimplePlugin_formalMask;
  570.   reg [31:0] writeBack_DBusSimplePlugin_rspShifted;
  571.   wire  _zz_74_;
  572.   reg [31:0] _zz_75_;
  573.   wire  _zz_76_;
  574.   reg [31:0] _zz_77_;
  575.   reg [31:0] writeBack_DBusSimplePlugin_rspFormated;
  576.   wire [20:0] _zz_78_;
  577.   wire  _zz_79_;
  578.   wire  _zz_80_;
  579.   wire  _zz_81_;
  580.   wire  _zz_82_;
  581.   wire `BranchCtrlEnum_defaultEncoding_type _zz_83_;
  582.   wire `AluCtrlEnum_defaultEncoding_type _zz_84_;
  583.   wire `Src2CtrlEnum_defaultEncoding_type _zz_85_;
  584.   wire `Src1CtrlEnum_defaultEncoding_type _zz_86_;
  585.   wire `ShiftCtrlEnum_defaultEncoding_type _zz_87_;
  586.   wire `AluBitwiseCtrlEnum_defaultEncoding_type _zz_88_;
  587.   wire [4:0] decode_RegFilePlugin_regFileReadAddress1;
  588.   wire [4:0] decode_RegFilePlugin_regFileReadAddress2;
  589.   wire [31:0] decode_RegFilePlugin_rs1Data;
  590.   wire [31:0] decode_RegFilePlugin_rs2Data;
  591.   reg  writeBack_RegFilePlugin_regFileWrite_valid /* verilator public */ ;
  592.   wire [4:0] writeBack_RegFilePlugin_regFileWrite_payload_address /* verilator public */ ;
  593.   wire [31:0] writeBack_RegFilePlugin_regFileWrite_payload_data /* verilator public */ ;
  594.   reg  _zz_89_;
  595.   reg [31:0] execute_IntAluPlugin_bitwise;
  596.   reg [31:0] _zz_90_;
  597.   reg [31:0] _zz_91_;
  598.   wire  _zz_92_;
  599.   reg [19:0] _zz_93_;
  600.   wire  _zz_94_;
  601.   reg [19:0] _zz_95_;
  602.   reg [31:0] _zz_96_;
  603.   wire [31:0] execute_SrcPlugin_addSub;
  604.   wire  execute_SrcPlugin_less;
  605.   reg  execute_LightShifterPlugin_isActive;
  606.   wire  execute_LightShifterPlugin_isShift;
  607.   reg [4:0] execute_LightShifterPlugin_amplitudeReg;
  608.   wire [4:0] execute_LightShifterPlugin_amplitude;
  609.   wire [31:0] execute_LightShifterPlugin_shiftInput;
  610.   wire  execute_LightShifterPlugin_done;
  611.   reg [31:0] _zz_97_;
  612.   reg  _zz_98_;
  613.   reg  _zz_99_;
  614.   wire  _zz_100_;
  615.   reg  _zz_101_;
  616.   reg [4:0] _zz_102_;
  617.   wire  execute_BranchPlugin_eq;
  618.   wire [2:0] _zz_103_;
  619.   reg  _zz_104_;
  620.   reg  _zz_105_;
  621.   wire [31:0] execute_BranchPlugin_branch_src1;
  622.   wire  _zz_106_;
  623.   reg [10:0] _zz_107_;
  624.   wire  _zz_108_;
  625.   reg [19:0] _zz_109_;
  626.   wire  _zz_110_;
  627.   reg [18:0] _zz_111_;
  628.   reg [31:0] _zz_112_;
  629.   wire [31:0] execute_BranchPlugin_branch_src2;
  630.   wire [31:0] execute_BranchPlugin_branchAdder;
  631.   reg `BranchCtrlEnum_defaultEncoding_type decode_to_execute_BRANCH_CTRL;
  632.   reg  decode_to_execute_MEMORY_ENABLE;
  633.   reg  execute_to_memory_MEMORY_ENABLE;
  634.   reg  memory_to_writeBack_MEMORY_ENABLE;
  635.   reg [31:0] decode_to_execute_SRC2;
  636.   reg [31:0] decode_to_execute_FORMAL_PC_NEXT;
  637.   reg [31:0] execute_to_memory_FORMAL_PC_NEXT;
  638.   reg  decode_to_execute_REGFILE_WRITE_VALID;
  639.   reg  execute_to_memory_REGFILE_WRITE_VALID;
  640.   reg  memory_to_writeBack_REGFILE_WRITE_VALID;
  641.   reg [1:0] execute_to_memory_MEMORY_ADDRESS_LOW;
  642.   reg [1:0] memory_to_writeBack_MEMORY_ADDRESS_LOW;
  643.   reg  decode_to_execute_SRC_LESS_UNSIGNED;
  644.   reg  decode_to_execute_BYPASSABLE_MEMORY_STAGE;
  645.   reg  execute_to_memory_BYPASSABLE_MEMORY_STAGE;
  646.   reg  decode_to_execute_BYPASSABLE_EXECUTE_STAGE;
  647.   reg [31:0] decode_to_execute_PC;
  648.   reg [31:0] execute_to_memory_PC;
  649.   reg [31:0] memory_to_writeBack_PC;
  650.   reg  decode_to_execute_SRC_USE_SUB_LESS;
  651.   reg [31:0] decode_to_execute_RS2;
  652.   reg [31:0] decode_to_execute_SRC1;
  653.   reg  execute_to_memory_BRANCH_DO;
  654.   reg `AluBitwiseCtrlEnum_defaultEncoding_type decode_to_execute_ALU_BITWISE_CTRL;
  655.   reg [31:0] decode_to_execute_INSTRUCTION;
  656.   reg [31:0] execute_to_memory_INSTRUCTION;
  657.   reg [31:0] memory_to_writeBack_INSTRUCTION;
  658.   reg [31:0] execute_to_memory_REGFILE_WRITE_DATA;
  659.   reg [31:0] memory_to_writeBack_REGFILE_WRITE_DATA;
  660.   reg [31:0] memory_to_writeBack_MEMORY_READ_DATA;
  661.   reg [31:0] decode_to_execute_RS1;
  662.   reg `ShiftCtrlEnum_defaultEncoding_type decode_to_execute_SHIFT_CTRL;
  663.   reg [31:0] execute_to_memory_BRANCH_CALC;
  664.   reg `AluCtrlEnum_defaultEncoding_type decode_to_execute_ALU_CTRL;
  665.   `ifndef SYNTHESIS
  666.   reg [63:0] decode_ALU_CTRL_string;
  667.   reg [63:0] _zz_1__string;
  668.   reg [63:0] _zz_2__string;
  669.   reg [63:0] _zz_3__string;
  670.   reg [71:0] decode_SHIFT_CTRL_string;
  671.   reg [71:0] _zz_4__string;
  672.   reg [71:0] _zz_5__string;
  673.   reg [71:0] _zz_6__string;
  674.   reg [39:0] decode_ALU_BITWISE_CTRL_string;
  675.   reg [39:0] _zz_7__string;
  676.   reg [39:0] _zz_8__string;
  677.   reg [39:0] _zz_9__string;
  678.   reg [31:0] decode_BRANCH_CTRL_string;
  679.   reg [31:0] _zz_10__string;
  680.   reg [31:0] _zz_11__string;
  681.   reg [31:0] _zz_12__string;
  682.   reg [31:0] execute_BRANCH_CTRL_string;
  683.   reg [31:0] _zz_14__string;
  684.   reg [71:0] execute_SHIFT_CTRL_string;
  685.   reg [71:0] _zz_17__string;
  686.   reg [23:0] decode_SRC2_CTRL_string;
  687.   reg [23:0] _zz_23__string;
  688.   reg [95:0] decode_SRC1_CTRL_string;
  689.   reg [95:0] _zz_26__string;
  690.   reg [63:0] execute_ALU_CTRL_string;
  691.   reg [63:0] _zz_28__string;
  692.   reg [39:0] execute_ALU_BITWISE_CTRL_string;
  693.   reg [39:0] _zz_30__string;
  694.   reg [39:0] _zz_38__string;
  695.   reg [71:0] _zz_39__string;
  696.   reg [95:0] _zz_40__string;
  697.   reg [23:0] _zz_41__string;
  698.   reg [63:0] _zz_46__string;
  699.   reg [31:0] _zz_48__string;
  700.   reg [31:0] _zz_83__string;
  701.   reg [63:0] _zz_84__string;
  702.   reg [23:0] _zz_85__string;
  703.   reg [95:0] _zz_86__string;
  704.   reg [71:0] _zz_87__string;
  705.   reg [39:0] _zz_88__string;
  706.   reg [31:0] decode_to_execute_BRANCH_CTRL_string;
  707.   reg [39:0] decode_to_execute_ALU_BITWISE_CTRL_string;
  708.   reg [71:0] decode_to_execute_SHIFT_CTRL_string;
  709.   reg [63:0] decode_to_execute_ALU_CTRL_string;
  710.   `endif
  711.  
  712.   reg [31:0] RegFilePlugin_regFile [0:31] /* verilator public */ ;
  713.   assign _zz_116_ = ((execute_arbitration_isValid && execute_LightShifterPlugin_isShift) && (execute_SRC2[4 : 0] != (5'b00000)));
  714.   assign _zz_117_ = (! execute_arbitration_isStuckByOthers);
  715.   assign _zz_118_ = (IBusSimplePlugin_fetchPc_preOutput_valid && IBusSimplePlugin_fetchPc_preOutput_ready);
  716.   assign _zz_119_ = (writeBack_arbitration_isValid && writeBack_REGFILE_WRITE_VALID);
  717.   assign _zz_120_ = (1'b1 || (! 1'b1));
  718.   assign _zz_121_ = (memory_arbitration_isValid && memory_REGFILE_WRITE_VALID);
  719.   assign _zz_122_ = (1'b1 || (! memory_BYPASSABLE_MEMORY_STAGE));
  720.   assign _zz_123_ = (execute_arbitration_isValid && execute_REGFILE_WRITE_VALID);
  721.   assign _zz_124_ = (1'b1 || (! execute_BYPASSABLE_EXECUTE_STAGE));
  722.   assign _zz_125_ = writeBack_INSTRUCTION[13 : 12];
  723.   assign _zz_126_ = {IBusSimplePlugin_fetchPc_inc,(2'b00)};
  724.   assign _zz_127_ = {29'd0, _zz_126_};
  725.   assign _zz_128_ = (IBusSimplePlugin_pendingCmd + _zz_130_);
  726.   assign _zz_129_ = (IBusSimplePlugin_cmd_valid && IBusSimplePlugin_cmd_ready);
  727.   assign _zz_130_ = {2'd0, _zz_129_};
  728.   assign _zz_131_ = iBus_rsp_valid;
  729.   assign _zz_132_ = {2'd0, _zz_131_};
  730.   assign _zz_133_ = (iBus_rsp_valid && (IBusSimplePlugin_rspJoin_discardCounter != (3'b000)));
  731.   assign _zz_134_ = {2'd0, _zz_133_};
  732.   assign _zz_135_ = iBus_rsp_valid;
  733.   assign _zz_136_ = {2'd0, _zz_135_};
  734.   assign _zz_137_ = _zz_78_[0 : 0];
  735.   assign _zz_138_ = _zz_78_[3 : 3];
  736.   assign _zz_139_ = _zz_78_[6 : 6];
  737.   assign _zz_140_ = _zz_78_[8 : 8];
  738.   assign _zz_141_ = _zz_78_[9 : 9];
  739.   assign _zz_142_ = _zz_78_[10 : 10];
  740.   assign _zz_143_ = _zz_78_[19 : 19];
  741.   assign _zz_144_ = _zz_78_[20 : 20];
  742.   assign _zz_145_ = execute_SRC_LESS;
  743.   assign _zz_146_ = (3'b100);
  744.   assign _zz_147_ = decode_INSTRUCTION[19 : 15];
  745.   assign _zz_148_ = decode_INSTRUCTION[31 : 20];
  746.   assign _zz_149_ = {decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]};
  747.   assign _zz_150_ = ($signed(_zz_151_) + $signed(_zz_155_));
  748.   assign _zz_151_ = ($signed(_zz_152_) + $signed(_zz_153_));
  749.   assign _zz_152_ = execute_SRC1;
  750.   assign _zz_153_ = (execute_SRC_USE_SUB_LESS ? (~ execute_SRC2) : execute_SRC2);
  751.   assign _zz_154_ = (execute_SRC_USE_SUB_LESS ? _zz_156_ : _zz_157_);
  752.   assign _zz_155_ = {{30{_zz_154_[1]}}, _zz_154_};
  753.   assign _zz_156_ = (2'b01);
  754.   assign _zz_157_ = (2'b00);
  755.   assign _zz_158_ = (_zz_159_ >>> 1);
  756.   assign _zz_159_ = {((execute_SHIFT_CTRL == `ShiftCtrlEnum_defaultEncoding_SRA_1) && execute_LightShifterPlugin_shiftInput[31]),execute_LightShifterPlugin_shiftInput};
  757.   assign _zz_160_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]};
  758.   assign _zz_161_ = execute_INSTRUCTION[31 : 20];
  759.   assign _zz_162_ = {{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]};
  760.   assign _zz_163_ = 1'b1;
  761.   assign _zz_164_ = 1'b1;
  762.   assign _zz_165_ = (decode_INSTRUCTION & (32'b00000000000000000010000000010000));
  763.   assign _zz_166_ = (32'b00000000000000000010000000000000);
  764.   assign _zz_167_ = (decode_INSTRUCTION & (32'b00000000000000000101000000000000));
  765.   assign _zz_168_ = (32'b00000000000000000001000000000000);
  766.   assign _zz_169_ = ((decode_INSTRUCTION & _zz_176_) == (32'b00000000000000000001000000000000));
  767.   assign _zz_170_ = _zz_80_;
  768.   assign _zz_171_ = {_zz_80_,{_zz_177_,_zz_178_}};
  769.   assign _zz_172_ = (3'b000);
  770.   assign _zz_173_ = ((_zz_179_ == _zz_180_) != (1'b0));
  771.   assign _zz_174_ = ({_zz_181_,_zz_182_} != (2'b00));
  772.   assign _zz_175_ = {(_zz_183_ != _zz_184_),{_zz_185_,{_zz_186_,_zz_187_}}};
  773.   assign _zz_176_ = (32'b00000000000000000001000000000000);
  774.   assign _zz_177_ = ((decode_INSTRUCTION & (32'b00000000000000000011000000000000)) == (32'b00000000000000000001000000000000));
  775.   assign _zz_178_ = ((decode_INSTRUCTION & (32'b00000000000000000011000000000000)) == (32'b00000000000000000010000000000000));
  776.   assign _zz_179_ = (decode_INSTRUCTION & (32'b00000000000000000111000000010100));
  777.   assign _zz_180_ = (32'b00000000000000000101000000010000);
  778.   assign _zz_181_ = ((decode_INSTRUCTION & _zz_188_) == (32'b01000000000000000001000000010000));
  779.   assign _zz_182_ = ((decode_INSTRUCTION & _zz_189_) == (32'b00000000000000000001000000010000));
  780.   assign _zz_183_ = _zz_82_;
  781.   assign _zz_184_ = (1'b0);
  782.   assign _zz_185_ = ((_zz_190_ == _zz_191_) != (1'b0));
  783.   assign _zz_186_ = ({_zz_192_,_zz_193_} != (2'b00));
  784.   assign _zz_187_ = {(_zz_194_ != _zz_195_),{_zz_196_,{_zz_197_,_zz_198_}}};
  785.   assign _zz_188_ = (32'b01000000000000000011000000010100);
  786.   assign _zz_189_ = (32'b00000000000000000111000000010100);
  787.   assign _zz_190_ = (decode_INSTRUCTION & (32'b00000000000000000000000001000100));
  788.   assign _zz_191_ = (32'b00000000000000000000000000000100);
  789.   assign _zz_192_ = _zz_80_;
  790.   assign _zz_193_ = ((decode_INSTRUCTION & (32'b00000000000000000000000001110000)) == (32'b00000000000000000000000000100000));
  791.   assign _zz_194_ = {_zz_80_,_zz_79_};
  792.   assign _zz_195_ = (2'b00);
  793.   assign _zz_196_ = ({(_zz_199_ == _zz_200_),(_zz_201_ == _zz_202_)} != (2'b00));
  794.   assign _zz_197_ = ({_zz_203_,{_zz_204_,_zz_205_}} != (3'b000));
  795.   assign _zz_198_ = {(_zz_206_ != (1'b0)),{(_zz_207_ != _zz_208_),{_zz_209_,{_zz_210_,_zz_211_}}}};
  796.   assign _zz_199_ = (decode_INSTRUCTION & (32'b00000000000000000000000000000100));
  797.   assign _zz_200_ = (32'b00000000000000000000000000000000);
  798.   assign _zz_201_ = (decode_INSTRUCTION & (32'b00000000000000000000000000011000));
  799.   assign _zz_202_ = (32'b00000000000000000000000000000000);
  800.   assign _zz_203_ = ((decode_INSTRUCTION & (32'b00000000000000000000000001000100)) == (32'b00000000000000000000000001000000));
  801.   assign _zz_204_ = ((decode_INSTRUCTION & _zz_212_) == (32'b01000000000000000000000000110000));
  802.   assign _zz_205_ = ((decode_INSTRUCTION & _zz_213_) == (32'b00000000000000000010000000010000));
  803.   assign _zz_206_ = ((decode_INSTRUCTION & (32'b00000000000000000000000001010000)) == (32'b00000000000000000000000000000000));
  804.   assign _zz_207_ = ((decode_INSTRUCTION & _zz_214_) == (32'b00000000000000000000000001000000));
  805.   assign _zz_208_ = (1'b0);
  806.   assign _zz_209_ = ((_zz_215_ == _zz_216_) != (1'b0));
  807.   assign _zz_210_ = ({_zz_217_,_zz_218_} != (3'b000));
  808.   assign _zz_211_ = {(_zz_219_ != _zz_220_),{_zz_221_,{_zz_222_,_zz_223_}}};
  809.   assign _zz_212_ = (32'b01000000000000000000000000110000);
  810.   assign _zz_213_ = (32'b00000000000000000010000000010100);
  811.   assign _zz_214_ = (32'b00000000000000000000000001000000);
  812.   assign _zz_215_ = (decode_INSTRUCTION & (32'b00000000000000000000000000100100));
  813.   assign _zz_216_ = (32'b00000000000000000000000000100000);
  814.   assign _zz_217_ = ((decode_INSTRUCTION & _zz_224_) == (32'b00000000000000000100000000000000));
  815.   assign _zz_218_ = {(_zz_225_ == _zz_226_),(_zz_227_ == _zz_228_)};
  816.   assign _zz_219_ = ((decode_INSTRUCTION & _zz_229_) == (32'b00000000000000000010000000000000));
  817.   assign _zz_220_ = (1'b0);
  818.   assign _zz_221_ = (_zz_81_ != (1'b0));
  819.   assign _zz_222_ = (_zz_82_ != (1'b0));
  820.   assign _zz_223_ = {(_zz_230_ != _zz_231_),(_zz_232_ != _zz_233_)};
  821.   assign _zz_224_ = (32'b00000000000000000100000000000100);
  822.   assign _zz_225_ = (decode_INSTRUCTION & (32'b00000000000000000000000001100100));
  823.   assign _zz_226_ = (32'b00000000000000000000000000100100);
  824.   assign _zz_227_ = (decode_INSTRUCTION & (32'b00000000000000000011000000000100));
  825.   assign _zz_228_ = (32'b00000000000000000001000000000000);
  826.   assign _zz_229_ = (32'b00000000000000000110000000000100);
  827.   assign _zz_230_ = ((decode_INSTRUCTION & (32'b00000000000000000000000001001000)) == (32'b00000000000000000000000001000000));
  828.   assign _zz_231_ = (1'b0);
  829.   assign _zz_232_ = {_zz_81_,{_zz_80_,_zz_79_}};
  830.   assign _zz_233_ = (3'b000);
  831.   always @ (posedge clk) begin
  832.     if(_zz_33_) begin
  833.       RegFilePlugin_regFile[writeBack_RegFilePlugin_regFileWrite_payload_address] <= writeBack_RegFilePlugin_regFileWrite_payload_data;
  834.     end
  835.   end
  836.  
  837.   always @ (posedge clk) begin
  838.     if(_zz_163_) begin
  839.       _zz_114_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress1];
  840.     end
  841.   end
  842.  
  843.   always @ (posedge clk) begin
  844.     if(_zz_164_) begin
  845.       _zz_115_ <= RegFilePlugin_regFile[decode_RegFilePlugin_regFileReadAddress2];
  846.     end
  847.   end
  848.  
  849.   StreamFifoLowLatency IBusSimplePlugin_rspJoin_rspBuffer_c (
  850.     .io_push_valid(iBus_rsp_takeWhen_valid),
  851.     .io_push_ready(IBusSimplePlugin_rspJoin_rspBuffer_c_io_push_ready),
  852.     .io_push_payload_error(iBus_rsp_takeWhen_payload_error),
  853.     .io_push_payload_inst(iBus_rsp_takeWhen_payload_inst),
  854.     .io_pop_valid(IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid),
  855.     .io_pop_ready(IBusSimplePlugin_rspJoin_rspBufferOutput_ready),
  856.     .io_pop_payload_error(IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error),
  857.     .io_pop_payload_inst(IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst),
  858.     .io_flush(_zz_113_),
  859.     .io_occupancy(IBusSimplePlugin_rspJoin_rspBuffer_c_io_occupancy),
  860.     .clk(clk),
  861.     .reset(reset)
  862.   );
  863.   `ifndef SYNTHESIS
  864.   always @(*) begin
  865.     case(decode_ALU_CTRL)
  866.       `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_ALU_CTRL_string = "ADD_SUB ";
  867.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_ALU_CTRL_string = "SLT_SLTU";
  868.       `AluCtrlEnum_defaultEncoding_BITWISE : decode_ALU_CTRL_string = "BITWISE ";
  869.       default : decode_ALU_CTRL_string = "????????";
  870.     endcase
  871.   end
  872.   always @(*) begin
  873.     case(_zz_1_)
  874.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_1__string = "ADD_SUB ";
  875.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_1__string = "SLT_SLTU";
  876.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_1__string = "BITWISE ";
  877.       default : _zz_1__string = "????????";
  878.     endcase
  879.   end
  880.   always @(*) begin
  881.     case(_zz_2_)
  882.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_2__string = "ADD_SUB ";
  883.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_2__string = "SLT_SLTU";
  884.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_2__string = "BITWISE ";
  885.       default : _zz_2__string = "????????";
  886.     endcase
  887.   end
  888.   always @(*) begin
  889.     case(_zz_3_)
  890.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_3__string = "ADD_SUB ";
  891.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_3__string = "SLT_SLTU";
  892.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_3__string = "BITWISE ";
  893.       default : _zz_3__string = "????????";
  894.     endcase
  895.   end
  896.   always @(*) begin
  897.     case(decode_SHIFT_CTRL)
  898.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_SHIFT_CTRL_string = "DISABLE_1";
  899.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_SHIFT_CTRL_string = "SLL_1    ";
  900.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_SHIFT_CTRL_string = "SRL_1    ";
  901.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_SHIFT_CTRL_string = "SRA_1    ";
  902.       default : decode_SHIFT_CTRL_string = "?????????";
  903.     endcase
  904.   end
  905.   always @(*) begin
  906.     case(_zz_4_)
  907.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_4__string = "DISABLE_1";
  908.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_4__string = "SLL_1    ";
  909.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_4__string = "SRL_1    ";
  910.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_4__string = "SRA_1    ";
  911.       default : _zz_4__string = "?????????";
  912.     endcase
  913.   end
  914.   always @(*) begin
  915.     case(_zz_5_)
  916.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_5__string = "DISABLE_1";
  917.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_5__string = "SLL_1    ";
  918.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_5__string = "SRL_1    ";
  919.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_5__string = "SRA_1    ";
  920.       default : _zz_5__string = "?????????";
  921.     endcase
  922.   end
  923.   always @(*) begin
  924.     case(_zz_6_)
  925.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_6__string = "DISABLE_1";
  926.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_6__string = "SLL_1    ";
  927.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_6__string = "SRL_1    ";
  928.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_6__string = "SRA_1    ";
  929.       default : _zz_6__string = "?????????";
  930.     endcase
  931.   end
  932.   always @(*) begin
  933.     case(decode_ALU_BITWISE_CTRL)
  934.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_ALU_BITWISE_CTRL_string = "XOR_1";
  935.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_ALU_BITWISE_CTRL_string = "OR_1 ";
  936.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_ALU_BITWISE_CTRL_string = "AND_1";
  937.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : decode_ALU_BITWISE_CTRL_string = "SRC1 ";
  938.       default : decode_ALU_BITWISE_CTRL_string = "?????";
  939.     endcase
  940.   end
  941.   always @(*) begin
  942.     case(_zz_7_)
  943.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_7__string = "XOR_1";
  944.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_7__string = "OR_1 ";
  945.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_7__string = "AND_1";
  946.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_7__string = "SRC1 ";
  947.       default : _zz_7__string = "?????";
  948.     endcase
  949.   end
  950.   always @(*) begin
  951.     case(_zz_8_)
  952.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_8__string = "XOR_1";
  953.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_8__string = "OR_1 ";
  954.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_8__string = "AND_1";
  955.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_8__string = "SRC1 ";
  956.       default : _zz_8__string = "?????";
  957.     endcase
  958.   end
  959.   always @(*) begin
  960.     case(_zz_9_)
  961.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_9__string = "XOR_1";
  962.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_9__string = "OR_1 ";
  963.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_9__string = "AND_1";
  964.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_9__string = "SRC1 ";
  965.       default : _zz_9__string = "?????";
  966.     endcase
  967.   end
  968.   always @(*) begin
  969.     case(decode_BRANCH_CTRL)
  970.       `BranchCtrlEnum_defaultEncoding_INC : decode_BRANCH_CTRL_string = "INC ";
  971.       `BranchCtrlEnum_defaultEncoding_B : decode_BRANCH_CTRL_string = "B   ";
  972.       `BranchCtrlEnum_defaultEncoding_JAL : decode_BRANCH_CTRL_string = "JAL ";
  973.       `BranchCtrlEnum_defaultEncoding_JALR : decode_BRANCH_CTRL_string = "JALR";
  974.       default : decode_BRANCH_CTRL_string = "????";
  975.     endcase
  976.   end
  977.   always @(*) begin
  978.     case(_zz_10_)
  979.       `BranchCtrlEnum_defaultEncoding_INC : _zz_10__string = "INC ";
  980.       `BranchCtrlEnum_defaultEncoding_B : _zz_10__string = "B   ";
  981.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_10__string = "JAL ";
  982.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_10__string = "JALR";
  983.       default : _zz_10__string = "????";
  984.     endcase
  985.   end
  986.   always @(*) begin
  987.     case(_zz_11_)
  988.       `BranchCtrlEnum_defaultEncoding_INC : _zz_11__string = "INC ";
  989.       `BranchCtrlEnum_defaultEncoding_B : _zz_11__string = "B   ";
  990.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_11__string = "JAL ";
  991.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_11__string = "JALR";
  992.       default : _zz_11__string = "????";
  993.     endcase
  994.   end
  995.   always @(*) begin
  996.     case(_zz_12_)
  997.       `BranchCtrlEnum_defaultEncoding_INC : _zz_12__string = "INC ";
  998.       `BranchCtrlEnum_defaultEncoding_B : _zz_12__string = "B   ";
  999.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_12__string = "JAL ";
  1000.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_12__string = "JALR";
  1001.       default : _zz_12__string = "????";
  1002.     endcase
  1003.   end
  1004.   always @(*) begin
  1005.     case(execute_BRANCH_CTRL)
  1006.       `BranchCtrlEnum_defaultEncoding_INC : execute_BRANCH_CTRL_string = "INC ";
  1007.       `BranchCtrlEnum_defaultEncoding_B : execute_BRANCH_CTRL_string = "B   ";
  1008.       `BranchCtrlEnum_defaultEncoding_JAL : execute_BRANCH_CTRL_string = "JAL ";
  1009.       `BranchCtrlEnum_defaultEncoding_JALR : execute_BRANCH_CTRL_string = "JALR";
  1010.       default : execute_BRANCH_CTRL_string = "????";
  1011.     endcase
  1012.   end
  1013.   always @(*) begin
  1014.     case(_zz_14_)
  1015.       `BranchCtrlEnum_defaultEncoding_INC : _zz_14__string = "INC ";
  1016.       `BranchCtrlEnum_defaultEncoding_B : _zz_14__string = "B   ";
  1017.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_14__string = "JAL ";
  1018.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_14__string = "JALR";
  1019.       default : _zz_14__string = "????";
  1020.     endcase
  1021.   end
  1022.   always @(*) begin
  1023.     case(execute_SHIFT_CTRL)
  1024.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : execute_SHIFT_CTRL_string = "DISABLE_1";
  1025.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : execute_SHIFT_CTRL_string = "SLL_1    ";
  1026.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : execute_SHIFT_CTRL_string = "SRL_1    ";
  1027.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : execute_SHIFT_CTRL_string = "SRA_1    ";
  1028.       default : execute_SHIFT_CTRL_string = "?????????";
  1029.     endcase
  1030.   end
  1031.   always @(*) begin
  1032.     case(_zz_17_)
  1033.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_17__string = "DISABLE_1";
  1034.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_17__string = "SLL_1    ";
  1035.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_17__string = "SRL_1    ";
  1036.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_17__string = "SRA_1    ";
  1037.       default : _zz_17__string = "?????????";
  1038.     endcase
  1039.   end
  1040.   always @(*) begin
  1041.     case(decode_SRC2_CTRL)
  1042.       `Src2CtrlEnum_defaultEncoding_RS : decode_SRC2_CTRL_string = "RS ";
  1043.       `Src2CtrlEnum_defaultEncoding_IMI : decode_SRC2_CTRL_string = "IMI";
  1044.       `Src2CtrlEnum_defaultEncoding_IMS : decode_SRC2_CTRL_string = "IMS";
  1045.       `Src2CtrlEnum_defaultEncoding_PC : decode_SRC2_CTRL_string = "PC ";
  1046.       default : decode_SRC2_CTRL_string = "???";
  1047.     endcase
  1048.   end
  1049.   always @(*) begin
  1050.     case(_zz_23_)
  1051.       `Src2CtrlEnum_defaultEncoding_RS : _zz_23__string = "RS ";
  1052.       `Src2CtrlEnum_defaultEncoding_IMI : _zz_23__string = "IMI";
  1053.       `Src2CtrlEnum_defaultEncoding_IMS : _zz_23__string = "IMS";
  1054.       `Src2CtrlEnum_defaultEncoding_PC : _zz_23__string = "PC ";
  1055.       default : _zz_23__string = "???";
  1056.     endcase
  1057.   end
  1058.   always @(*) begin
  1059.     case(decode_SRC1_CTRL)
  1060.       `Src1CtrlEnum_defaultEncoding_RS : decode_SRC1_CTRL_string = "RS          ";
  1061.       `Src1CtrlEnum_defaultEncoding_IMU : decode_SRC1_CTRL_string = "IMU         ";
  1062.       `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : decode_SRC1_CTRL_string = "PC_INCREMENT";
  1063.       `Src1CtrlEnum_defaultEncoding_URS1 : decode_SRC1_CTRL_string = "URS1        ";
  1064.       default : decode_SRC1_CTRL_string = "????????????";
  1065.     endcase
  1066.   end
  1067.   always @(*) begin
  1068.     case(_zz_26_)
  1069.       `Src1CtrlEnum_defaultEncoding_RS : _zz_26__string = "RS          ";
  1070.       `Src1CtrlEnum_defaultEncoding_IMU : _zz_26__string = "IMU         ";
  1071.       `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_26__string = "PC_INCREMENT";
  1072.       `Src1CtrlEnum_defaultEncoding_URS1 : _zz_26__string = "URS1        ";
  1073.       default : _zz_26__string = "????????????";
  1074.     endcase
  1075.   end
  1076.   always @(*) begin
  1077.     case(execute_ALU_CTRL)
  1078.       `AluCtrlEnum_defaultEncoding_ADD_SUB : execute_ALU_CTRL_string = "ADD_SUB ";
  1079.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : execute_ALU_CTRL_string = "SLT_SLTU";
  1080.       `AluCtrlEnum_defaultEncoding_BITWISE : execute_ALU_CTRL_string = "BITWISE ";
  1081.       default : execute_ALU_CTRL_string = "????????";
  1082.     endcase
  1083.   end
  1084.   always @(*) begin
  1085.     case(_zz_28_)
  1086.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_28__string = "ADD_SUB ";
  1087.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_28__string = "SLT_SLTU";
  1088.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_28__string = "BITWISE ";
  1089.       default : _zz_28__string = "????????";
  1090.     endcase
  1091.   end
  1092.   always @(*) begin
  1093.     case(execute_ALU_BITWISE_CTRL)
  1094.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : execute_ALU_BITWISE_CTRL_string = "XOR_1";
  1095.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : execute_ALU_BITWISE_CTRL_string = "OR_1 ";
  1096.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : execute_ALU_BITWISE_CTRL_string = "AND_1";
  1097.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : execute_ALU_BITWISE_CTRL_string = "SRC1 ";
  1098.       default : execute_ALU_BITWISE_CTRL_string = "?????";
  1099.     endcase
  1100.   end
  1101.   always @(*) begin
  1102.     case(_zz_30_)
  1103.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_30__string = "XOR_1";
  1104.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_30__string = "OR_1 ";
  1105.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_30__string = "AND_1";
  1106.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_30__string = "SRC1 ";
  1107.       default : _zz_30__string = "?????";
  1108.     endcase
  1109.   end
  1110.   always @(*) begin
  1111.     case(_zz_38_)
  1112.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_38__string = "XOR_1";
  1113.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_38__string = "OR_1 ";
  1114.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_38__string = "AND_1";
  1115.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_38__string = "SRC1 ";
  1116.       default : _zz_38__string = "?????";
  1117.     endcase
  1118.   end
  1119.   always @(*) begin
  1120.     case(_zz_39_)
  1121.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_39__string = "DISABLE_1";
  1122.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_39__string = "SLL_1    ";
  1123.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_39__string = "SRL_1    ";
  1124.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_39__string = "SRA_1    ";
  1125.       default : _zz_39__string = "?????????";
  1126.     endcase
  1127.   end
  1128.   always @(*) begin
  1129.     case(_zz_40_)
  1130.       `Src1CtrlEnum_defaultEncoding_RS : _zz_40__string = "RS          ";
  1131.       `Src1CtrlEnum_defaultEncoding_IMU : _zz_40__string = "IMU         ";
  1132.       `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_40__string = "PC_INCREMENT";
  1133.       `Src1CtrlEnum_defaultEncoding_URS1 : _zz_40__string = "URS1        ";
  1134.       default : _zz_40__string = "????????????";
  1135.     endcase
  1136.   end
  1137.   always @(*) begin
  1138.     case(_zz_41_)
  1139.       `Src2CtrlEnum_defaultEncoding_RS : _zz_41__string = "RS ";
  1140.       `Src2CtrlEnum_defaultEncoding_IMI : _zz_41__string = "IMI";
  1141.       `Src2CtrlEnum_defaultEncoding_IMS : _zz_41__string = "IMS";
  1142.       `Src2CtrlEnum_defaultEncoding_PC : _zz_41__string = "PC ";
  1143.       default : _zz_41__string = "???";
  1144.     endcase
  1145.   end
  1146.   always @(*) begin
  1147.     case(_zz_46_)
  1148.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_46__string = "ADD_SUB ";
  1149.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_46__string = "SLT_SLTU";
  1150.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_46__string = "BITWISE ";
  1151.       default : _zz_46__string = "????????";
  1152.     endcase
  1153.   end
  1154.   always @(*) begin
  1155.     case(_zz_48_)
  1156.       `BranchCtrlEnum_defaultEncoding_INC : _zz_48__string = "INC ";
  1157.       `BranchCtrlEnum_defaultEncoding_B : _zz_48__string = "B   ";
  1158.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_48__string = "JAL ";
  1159.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_48__string = "JALR";
  1160.       default : _zz_48__string = "????";
  1161.     endcase
  1162.   end
  1163.   always @(*) begin
  1164.     case(_zz_83_)
  1165.       `BranchCtrlEnum_defaultEncoding_INC : _zz_83__string = "INC ";
  1166.       `BranchCtrlEnum_defaultEncoding_B : _zz_83__string = "B   ";
  1167.       `BranchCtrlEnum_defaultEncoding_JAL : _zz_83__string = "JAL ";
  1168.       `BranchCtrlEnum_defaultEncoding_JALR : _zz_83__string = "JALR";
  1169.       default : _zz_83__string = "????";
  1170.     endcase
  1171.   end
  1172.   always @(*) begin
  1173.     case(_zz_84_)
  1174.       `AluCtrlEnum_defaultEncoding_ADD_SUB : _zz_84__string = "ADD_SUB ";
  1175.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : _zz_84__string = "SLT_SLTU";
  1176.       `AluCtrlEnum_defaultEncoding_BITWISE : _zz_84__string = "BITWISE ";
  1177.       default : _zz_84__string = "????????";
  1178.     endcase
  1179.   end
  1180.   always @(*) begin
  1181.     case(_zz_85_)
  1182.       `Src2CtrlEnum_defaultEncoding_RS : _zz_85__string = "RS ";
  1183.       `Src2CtrlEnum_defaultEncoding_IMI : _zz_85__string = "IMI";
  1184.       `Src2CtrlEnum_defaultEncoding_IMS : _zz_85__string = "IMS";
  1185.       `Src2CtrlEnum_defaultEncoding_PC : _zz_85__string = "PC ";
  1186.       default : _zz_85__string = "???";
  1187.     endcase
  1188.   end
  1189.   always @(*) begin
  1190.     case(_zz_86_)
  1191.       `Src1CtrlEnum_defaultEncoding_RS : _zz_86__string = "RS          ";
  1192.       `Src1CtrlEnum_defaultEncoding_IMU : _zz_86__string = "IMU         ";
  1193.       `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : _zz_86__string = "PC_INCREMENT";
  1194.       `Src1CtrlEnum_defaultEncoding_URS1 : _zz_86__string = "URS1        ";
  1195.       default : _zz_86__string = "????????????";
  1196.     endcase
  1197.   end
  1198.   always @(*) begin
  1199.     case(_zz_87_)
  1200.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : _zz_87__string = "DISABLE_1";
  1201.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : _zz_87__string = "SLL_1    ";
  1202.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : _zz_87__string = "SRL_1    ";
  1203.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : _zz_87__string = "SRA_1    ";
  1204.       default : _zz_87__string = "?????????";
  1205.     endcase
  1206.   end
  1207.   always @(*) begin
  1208.     case(_zz_88_)
  1209.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : _zz_88__string = "XOR_1";
  1210.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : _zz_88__string = "OR_1 ";
  1211.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : _zz_88__string = "AND_1";
  1212.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : _zz_88__string = "SRC1 ";
  1213.       default : _zz_88__string = "?????";
  1214.     endcase
  1215.   end
  1216.   always @(*) begin
  1217.     case(decode_to_execute_BRANCH_CTRL)
  1218.       `BranchCtrlEnum_defaultEncoding_INC : decode_to_execute_BRANCH_CTRL_string = "INC ";
  1219.       `BranchCtrlEnum_defaultEncoding_B : decode_to_execute_BRANCH_CTRL_string = "B   ";
  1220.       `BranchCtrlEnum_defaultEncoding_JAL : decode_to_execute_BRANCH_CTRL_string = "JAL ";
  1221.       `BranchCtrlEnum_defaultEncoding_JALR : decode_to_execute_BRANCH_CTRL_string = "JALR";
  1222.       default : decode_to_execute_BRANCH_CTRL_string = "????";
  1223.     endcase
  1224.   end
  1225.   always @(*) begin
  1226.     case(decode_to_execute_ALU_BITWISE_CTRL)
  1227.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "XOR_1";
  1228.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "OR_1 ";
  1229.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : decode_to_execute_ALU_BITWISE_CTRL_string = "AND_1";
  1230.       `AluBitwiseCtrlEnum_defaultEncoding_SRC1 : decode_to_execute_ALU_BITWISE_CTRL_string = "SRC1 ";
  1231.       default : decode_to_execute_ALU_BITWISE_CTRL_string = "?????";
  1232.     endcase
  1233.   end
  1234.   always @(*) begin
  1235.     case(decode_to_execute_SHIFT_CTRL)
  1236.       `ShiftCtrlEnum_defaultEncoding_DISABLE_1 : decode_to_execute_SHIFT_CTRL_string = "DISABLE_1";
  1237.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : decode_to_execute_SHIFT_CTRL_string = "SLL_1    ";
  1238.       `ShiftCtrlEnum_defaultEncoding_SRL_1 : decode_to_execute_SHIFT_CTRL_string = "SRL_1    ";
  1239.       `ShiftCtrlEnum_defaultEncoding_SRA_1 : decode_to_execute_SHIFT_CTRL_string = "SRA_1    ";
  1240.       default : decode_to_execute_SHIFT_CTRL_string = "?????????";
  1241.     endcase
  1242.   end
  1243.   always @(*) begin
  1244.     case(decode_to_execute_ALU_CTRL)
  1245.       `AluCtrlEnum_defaultEncoding_ADD_SUB : decode_to_execute_ALU_CTRL_string = "ADD_SUB ";
  1246.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : decode_to_execute_ALU_CTRL_string = "SLT_SLTU";
  1247.       `AluCtrlEnum_defaultEncoding_BITWISE : decode_to_execute_ALU_CTRL_string = "BITWISE ";
  1248.       default : decode_to_execute_ALU_CTRL_string = "????????";
  1249.     endcase
  1250.   end
  1251.   `endif
  1252.  
  1253.   assign decode_ALU_CTRL = _zz_1_;
  1254.   assign _zz_2_ = _zz_3_;
  1255.   assign execute_BRANCH_CALC = _zz_13_;
  1256.   assign decode_SHIFT_CTRL = _zz_4_;
  1257.   assign _zz_5_ = _zz_6_;
  1258.   assign decode_RS1 = _zz_35_;
  1259.   assign memory_MEMORY_READ_DATA = _zz_51_;
  1260.   assign writeBack_REGFILE_WRITE_DATA = memory_to_writeBack_REGFILE_WRITE_DATA;
  1261.   assign execute_REGFILE_WRITE_DATA = _zz_29_;
  1262.   assign decode_ALU_BITWISE_CTRL = _zz_7_;
  1263.   assign _zz_8_ = _zz_9_;
  1264.   assign execute_BRANCH_DO = _zz_15_;
  1265.   assign decode_SRC1 = _zz_27_;
  1266.   assign decode_RS2 = _zz_34_;
  1267.   assign decode_SRC_USE_SUB_LESS = _zz_43_;
  1268.   assign memory_PC = execute_to_memory_PC;
  1269.   assign decode_BYPASSABLE_EXECUTE_STAGE = _zz_37_;
  1270.   assign execute_BYPASSABLE_MEMORY_STAGE = decode_to_execute_BYPASSABLE_MEMORY_STAGE;
  1271.   assign decode_BYPASSABLE_MEMORY_STAGE = _zz_47_;
  1272.   assign decode_SRC_LESS_UNSIGNED = _zz_36_;
  1273.   assign memory_MEMORY_ADDRESS_LOW = execute_to_memory_MEMORY_ADDRESS_LOW;
  1274.   assign execute_MEMORY_ADDRESS_LOW = _zz_52_;
  1275.   assign memory_FORMAL_PC_NEXT = execute_to_memory_FORMAL_PC_NEXT;
  1276.   assign execute_FORMAL_PC_NEXT = decode_to_execute_FORMAL_PC_NEXT;
  1277.   assign decode_FORMAL_PC_NEXT = _zz_53_;
  1278.   assign decode_SRC2 = _zz_24_;
  1279.   assign decode_MEMORY_ENABLE = _zz_44_;
  1280.   assign decode_BRANCH_CTRL = _zz_10_;
  1281.   assign _zz_11_ = _zz_12_;
  1282.   assign memory_BRANCH_CALC = execute_to_memory_BRANCH_CALC;
  1283.   assign memory_BRANCH_DO = execute_to_memory_BRANCH_DO;
  1284.   assign execute_PC = decode_to_execute_PC;
  1285.   assign execute_RS1 = decode_to_execute_RS1;
  1286.   assign execute_BRANCH_CTRL = _zz_14_;
  1287.   assign decode_RS2_USE = _zz_45_;
  1288.   assign decode_RS1_USE = _zz_42_;
  1289.   assign execute_REGFILE_WRITE_VALID = decode_to_execute_REGFILE_WRITE_VALID;
  1290.   assign execute_BYPASSABLE_EXECUTE_STAGE = decode_to_execute_BYPASSABLE_EXECUTE_STAGE;
  1291.   assign memory_REGFILE_WRITE_VALID = execute_to_memory_REGFILE_WRITE_VALID;
  1292.   assign memory_BYPASSABLE_MEMORY_STAGE = execute_to_memory_BYPASSABLE_MEMORY_STAGE;
  1293.   assign writeBack_REGFILE_WRITE_VALID = memory_to_writeBack_REGFILE_WRITE_VALID;
  1294.   always @ (*) begin
  1295.     _zz_16_ = execute_REGFILE_WRITE_DATA;
  1296.     if(_zz_116_)begin
  1297.       _zz_16_ = _zz_97_;
  1298.     end
  1299.   end
  1300.  
  1301.   assign memory_REGFILE_WRITE_DATA = execute_to_memory_REGFILE_WRITE_DATA;
  1302.   assign execute_SHIFT_CTRL = _zz_17_;
  1303.   assign execute_SRC_LESS_UNSIGNED = decode_to_execute_SRC_LESS_UNSIGNED;
  1304.   assign execute_SRC_USE_SUB_LESS = decode_to_execute_SRC_USE_SUB_LESS;
  1305.   assign _zz_21_ = decode_PC;
  1306.   assign _zz_22_ = decode_RS2;
  1307.   assign decode_SRC2_CTRL = _zz_23_;
  1308.   assign _zz_25_ = decode_RS1;
  1309.   assign decode_SRC1_CTRL = _zz_26_;
  1310.   assign execute_SRC_ADD_SUB = _zz_20_;
  1311.   assign execute_SRC_LESS = _zz_18_;
  1312.   assign execute_ALU_CTRL = _zz_28_;
  1313.   assign execute_SRC2 = decode_to_execute_SRC2;
  1314.   assign execute_SRC1 = decode_to_execute_SRC1;
  1315.   assign execute_ALU_BITWISE_CTRL = _zz_30_;
  1316.   assign _zz_31_ = writeBack_INSTRUCTION;
  1317.   assign _zz_32_ = writeBack_REGFILE_WRITE_VALID;
  1318.   always @ (*) begin
  1319.     _zz_33_ = 1'b0;
  1320.     if(writeBack_RegFilePlugin_regFileWrite_valid)begin
  1321.       _zz_33_ = 1'b1;
  1322.     end
  1323.   end
  1324.  
  1325.   assign decode_INSTRUCTION_ANTICIPATED = _zz_56_;
  1326.   always @ (*) begin
  1327.     decode_REGFILE_WRITE_VALID = _zz_49_;
  1328.     if((decode_INSTRUCTION[11 : 7] == (5'b00000)))begin
  1329.       decode_REGFILE_WRITE_VALID = 1'b0;
  1330.     end
  1331.   end
  1332.  
  1333.   always @ (*) begin
  1334.     _zz_50_ = writeBack_REGFILE_WRITE_DATA;
  1335.     if((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE))begin
  1336.       _zz_50_ = writeBack_DBusSimplePlugin_rspFormated;
  1337.     end
  1338.   end
  1339.  
  1340.   assign writeBack_MEMORY_ENABLE = memory_to_writeBack_MEMORY_ENABLE;
  1341.   assign writeBack_MEMORY_ADDRESS_LOW = memory_to_writeBack_MEMORY_ADDRESS_LOW;
  1342.   assign writeBack_MEMORY_READ_DATA = memory_to_writeBack_MEMORY_READ_DATA;
  1343.   assign memory_INSTRUCTION = execute_to_memory_INSTRUCTION;
  1344.   assign memory_MEMORY_ENABLE = execute_to_memory_MEMORY_ENABLE;
  1345.   assign execute_RS2 = decode_to_execute_RS2;
  1346.   assign execute_SRC_ADD = _zz_19_;
  1347.   assign execute_INSTRUCTION = decode_to_execute_INSTRUCTION;
  1348.   assign execute_ALIGNEMENT_FAULT = 1'b0;
  1349.   assign execute_MEMORY_ENABLE = decode_to_execute_MEMORY_ENABLE;
  1350.   assign writeBack_PC = memory_to_writeBack_PC;
  1351.   assign writeBack_INSTRUCTION = memory_to_writeBack_INSTRUCTION;
  1352.   assign decode_PC = _zz_55_;
  1353.   assign decode_INSTRUCTION = _zz_54_;
  1354.   assign decode_arbitration_haltItself = 1'b0;
  1355.   always @ (*) begin
  1356.     decode_arbitration_haltByOther = 1'b0;
  1357.     if((decode_arbitration_isValid && (_zz_98_ || _zz_99_)))begin
  1358.       decode_arbitration_haltByOther = 1'b1;
  1359.     end
  1360.   end
  1361.  
  1362.   always @ (*) begin
  1363.     decode_arbitration_removeIt = 1'b0;
  1364.     if(decode_arbitration_isFlushed)begin
  1365.       decode_arbitration_removeIt = 1'b1;
  1366.     end
  1367.   end
  1368.  
  1369.   assign decode_arbitration_flushAll = 1'b0;
  1370.   assign decode_arbitration_redoIt = 1'b0;
  1371.   always @ (*) begin
  1372.     execute_arbitration_haltItself = 1'b0;
  1373.     if(((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! dBus_cmd_ready)) && (! execute_ALIGNEMENT_FAULT)) && (! execute_DBusSimplePlugin_cmdSent)))begin
  1374.       execute_arbitration_haltItself = 1'b1;
  1375.     end
  1376.     if(_zz_116_)begin
  1377.       if(_zz_117_)begin
  1378.         if(! execute_LightShifterPlugin_done) begin
  1379.           execute_arbitration_haltItself = 1'b1;
  1380.         end
  1381.       end
  1382.     end
  1383.   end
  1384.  
  1385.   assign execute_arbitration_haltByOther = 1'b0;
  1386.   always @ (*) begin
  1387.     execute_arbitration_removeIt = 1'b0;
  1388.     if(execute_arbitration_isFlushed)begin
  1389.       execute_arbitration_removeIt = 1'b1;
  1390.     end
  1391.   end
  1392.  
  1393.   always @ (*) begin
  1394.     execute_arbitration_flushAll = 1'b0;
  1395.     if(_zz_58_)begin
  1396.       execute_arbitration_flushAll = 1'b1;
  1397.     end
  1398.   end
  1399.  
  1400.   assign execute_arbitration_redoIt = 1'b0;
  1401.   always @ (*) begin
  1402.     memory_arbitration_haltItself = 1'b0;
  1403.     if((((memory_arbitration_isValid && memory_MEMORY_ENABLE) && (! memory_INSTRUCTION[5])) && (! dBus_rsp_ready)))begin
  1404.       memory_arbitration_haltItself = 1'b1;
  1405.     end
  1406.   end
  1407.  
  1408.   assign memory_arbitration_haltByOther = 1'b0;
  1409.   always @ (*) begin
  1410.     memory_arbitration_removeIt = 1'b0;
  1411.     if(memory_arbitration_isFlushed)begin
  1412.       memory_arbitration_removeIt = 1'b1;
  1413.     end
  1414.   end
  1415.  
  1416.   assign memory_arbitration_flushAll = 1'b0;
  1417.   assign memory_arbitration_redoIt = 1'b0;
  1418.   assign writeBack_arbitration_haltItself = 1'b0;
  1419.   assign writeBack_arbitration_haltByOther = 1'b0;
  1420.   always @ (*) begin
  1421.     writeBack_arbitration_removeIt = 1'b0;
  1422.     if(writeBack_arbitration_isFlushed)begin
  1423.       writeBack_arbitration_removeIt = 1'b1;
  1424.     end
  1425.   end
  1426.  
  1427.   assign writeBack_arbitration_flushAll = 1'b0;
  1428.   assign writeBack_arbitration_redoIt = 1'b0;
  1429.   assign _zz_57_ = 1'b0;
  1430.   assign IBusSimplePlugin_jump_pcLoad_valid = (_zz_58_ != (1'b0));
  1431.   assign IBusSimplePlugin_jump_pcLoad_payload = memory_BRANCH_CALC;
  1432.   assign _zz_59_ = (! 1'b0);
  1433.   assign IBusSimplePlugin_fetchPc_output_valid = (IBusSimplePlugin_fetchPc_preOutput_valid && _zz_59_);
  1434.   assign IBusSimplePlugin_fetchPc_preOutput_ready = (IBusSimplePlugin_fetchPc_output_ready && _zz_59_);
  1435.   assign IBusSimplePlugin_fetchPc_output_payload = IBusSimplePlugin_fetchPc_preOutput_payload;
  1436.   always @ (*) begin
  1437.     IBusSimplePlugin_fetchPc_propagatePc = 1'b0;
  1438.     if((IBusSimplePlugin_iBusRsp_stages_1_input_valid && IBusSimplePlugin_iBusRsp_stages_1_input_ready))begin
  1439.       IBusSimplePlugin_fetchPc_propagatePc = 1'b1;
  1440.     end
  1441.   end
  1442.  
  1443.   always @ (*) begin
  1444.     IBusSimplePlugin_fetchPc_pc = (IBusSimplePlugin_fetchPc_pcReg + _zz_127_);
  1445.     if(IBusSimplePlugin_jump_pcLoad_valid)begin
  1446.       IBusSimplePlugin_fetchPc_pc = IBusSimplePlugin_jump_pcLoad_payload;
  1447.     end
  1448.     IBusSimplePlugin_fetchPc_pc[0] = 1'b0;
  1449.     IBusSimplePlugin_fetchPc_pc[1] = 1'b0;
  1450.   end
  1451.  
  1452.   always @ (*) begin
  1453.     IBusSimplePlugin_fetchPc_samplePcNext = 1'b0;
  1454.     if(IBusSimplePlugin_fetchPc_propagatePc)begin
  1455.       IBusSimplePlugin_fetchPc_samplePcNext = 1'b1;
  1456.     end
  1457.     if(IBusSimplePlugin_jump_pcLoad_valid)begin
  1458.       IBusSimplePlugin_fetchPc_samplePcNext = 1'b1;
  1459.     end
  1460.     if(_zz_118_)begin
  1461.       IBusSimplePlugin_fetchPc_samplePcNext = 1'b1;
  1462.     end
  1463.   end
  1464.  
  1465.   assign IBusSimplePlugin_fetchPc_preOutput_valid = _zz_60_;
  1466.   assign IBusSimplePlugin_fetchPc_preOutput_payload = IBusSimplePlugin_fetchPc_pc;
  1467.   assign IBusSimplePlugin_iBusRsp_stages_0_input_valid = IBusSimplePlugin_fetchPc_output_valid;
  1468.   assign IBusSimplePlugin_fetchPc_output_ready = IBusSimplePlugin_iBusRsp_stages_0_input_ready;
  1469.   assign IBusSimplePlugin_iBusRsp_stages_0_input_payload = IBusSimplePlugin_fetchPc_output_payload;
  1470.   assign IBusSimplePlugin_iBusRsp_stages_0_inputSample = 1'b1;
  1471.   always @ (*) begin
  1472.     IBusSimplePlugin_iBusRsp_stages_0_halt = 1'b0;
  1473.     if((IBusSimplePlugin_iBusRsp_stages_0_input_valid && ((! IBusSimplePlugin_cmd_valid) || (! IBusSimplePlugin_cmd_ready))))begin
  1474.       IBusSimplePlugin_iBusRsp_stages_0_halt = 1'b1;
  1475.     end
  1476.   end
  1477.  
  1478.   assign _zz_61_ = (! IBusSimplePlugin_iBusRsp_stages_0_halt);
  1479.   assign IBusSimplePlugin_iBusRsp_stages_0_input_ready = (IBusSimplePlugin_iBusRsp_stages_0_output_ready && _zz_61_);
  1480.   assign IBusSimplePlugin_iBusRsp_stages_0_output_valid = (IBusSimplePlugin_iBusRsp_stages_0_input_valid && _zz_61_);
  1481.   assign IBusSimplePlugin_iBusRsp_stages_0_output_payload = IBusSimplePlugin_iBusRsp_stages_0_input_payload;
  1482.   assign IBusSimplePlugin_iBusRsp_stages_1_halt = 1'b0;
  1483.   assign _zz_62_ = (! IBusSimplePlugin_iBusRsp_stages_1_halt);
  1484.   assign IBusSimplePlugin_iBusRsp_stages_1_input_ready = (IBusSimplePlugin_iBusRsp_stages_1_output_ready && _zz_62_);
  1485.   assign IBusSimplePlugin_iBusRsp_stages_1_output_valid = (IBusSimplePlugin_iBusRsp_stages_1_input_valid && _zz_62_);
  1486.   assign IBusSimplePlugin_iBusRsp_stages_1_output_payload = IBusSimplePlugin_iBusRsp_stages_1_input_payload;
  1487.   assign IBusSimplePlugin_iBusRsp_stages_0_output_ready = _zz_63_;
  1488.   assign _zz_63_ = ((1'b0 && (! _zz_64_)) || IBusSimplePlugin_iBusRsp_stages_1_input_ready);
  1489.   assign _zz_64_ = _zz_65_;
  1490.   assign IBusSimplePlugin_iBusRsp_stages_1_input_valid = _zz_64_;
  1491.   assign IBusSimplePlugin_iBusRsp_stages_1_input_payload = IBusSimplePlugin_fetchPc_pcReg;
  1492.   always @ (*) begin
  1493.     IBusSimplePlugin_iBusRsp_readyForError = 1'b1;
  1494.     if(IBusSimplePlugin_injector_decodeInput_valid)begin
  1495.       IBusSimplePlugin_iBusRsp_readyForError = 1'b0;
  1496.     end
  1497.   end
  1498.  
  1499.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_ready = ((1'b0 && (! IBusSimplePlugin_injector_decodeInput_valid)) || IBusSimplePlugin_injector_decodeInput_ready);
  1500.   assign IBusSimplePlugin_injector_decodeInput_valid = _zz_66_;
  1501.   assign IBusSimplePlugin_injector_decodeInput_payload_pc = _zz_67_;
  1502.   assign IBusSimplePlugin_injector_decodeInput_payload_rsp_error = _zz_68_;
  1503.   assign IBusSimplePlugin_injector_decodeInput_payload_rsp_inst = _zz_69_;
  1504.   assign IBusSimplePlugin_injector_decodeInput_payload_isRvc = _zz_70_;
  1505.   assign _zz_56_ = (decode_arbitration_isStuck ? decode_INSTRUCTION : IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_raw);
  1506.   assign IBusSimplePlugin_injector_decodeInput_ready = (! decode_arbitration_isStuck);
  1507.   assign decode_arbitration_isValid = (IBusSimplePlugin_injector_decodeInput_valid && (! IBusSimplePlugin_injector_decodeRemoved));
  1508.   assign _zz_55_ = IBusSimplePlugin_injector_decodeInput_payload_pc;
  1509.   assign _zz_54_ = IBusSimplePlugin_injector_decodeInput_payload_rsp_inst;
  1510.   assign _zz_53_ = (decode_PC + (32'b00000000000000000000000000000100));
  1511.   assign iBus_cmd_valid = IBusSimplePlugin_cmd_valid;
  1512.   assign IBusSimplePlugin_cmd_ready = iBus_cmd_ready;
  1513.   assign iBus_cmd_payload_pc = IBusSimplePlugin_cmd_payload_pc;
  1514.   assign IBusSimplePlugin_pendingCmdNext = (_zz_128_ - _zz_132_);
  1515.   assign IBusSimplePlugin_cmd_valid = ((IBusSimplePlugin_iBusRsp_stages_0_input_valid && IBusSimplePlugin_iBusRsp_stages_0_output_ready) && (IBusSimplePlugin_pendingCmd != (3'b111)));
  1516.   assign IBusSimplePlugin_cmd_payload_pc = {IBusSimplePlugin_iBusRsp_stages_0_input_payload[31 : 2],(2'b00)};
  1517.   assign iBus_rsp_takeWhen_valid = (iBus_rsp_valid && (! (IBusSimplePlugin_rspJoin_discardCounter != (3'b000))));
  1518.   assign iBus_rsp_takeWhen_payload_error = iBus_rsp_payload_error;
  1519.   assign iBus_rsp_takeWhen_payload_inst = iBus_rsp_payload_inst;
  1520.   assign _zz_113_ = (IBusSimplePlugin_jump_pcLoad_valid || _zz_57_);
  1521.   assign IBusSimplePlugin_rspJoin_rspBufferOutput_valid = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_valid;
  1522.   assign IBusSimplePlugin_rspJoin_rspBufferOutput_payload_error = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_error;
  1523.   assign IBusSimplePlugin_rspJoin_rspBufferOutput_payload_inst = IBusSimplePlugin_rspJoin_rspBuffer_c_io_pop_payload_inst;
  1524.   assign IBusSimplePlugin_rspJoin_fetchRsp_pc = IBusSimplePlugin_iBusRsp_stages_1_output_payload;
  1525.   always @ (*) begin
  1526.     IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = IBusSimplePlugin_rspJoin_rspBufferOutput_payload_error;
  1527.     if((! IBusSimplePlugin_rspJoin_rspBufferOutput_valid))begin
  1528.       IBusSimplePlugin_rspJoin_fetchRsp_rsp_error = 1'b0;
  1529.     end
  1530.   end
  1531.  
  1532.   assign IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst = IBusSimplePlugin_rspJoin_rspBufferOutput_payload_inst;
  1533.   assign IBusSimplePlugin_rspJoin_issueDetected = 1'b0;
  1534.   assign IBusSimplePlugin_rspJoin_join_valid = (IBusSimplePlugin_iBusRsp_stages_1_output_valid && IBusSimplePlugin_rspJoin_rspBufferOutput_valid);
  1535.   assign IBusSimplePlugin_rspJoin_join_payload_pc = IBusSimplePlugin_rspJoin_fetchRsp_pc;
  1536.   assign IBusSimplePlugin_rspJoin_join_payload_rsp_error = IBusSimplePlugin_rspJoin_fetchRsp_rsp_error;
  1537.   assign IBusSimplePlugin_rspJoin_join_payload_rsp_inst = IBusSimplePlugin_rspJoin_fetchRsp_rsp_inst;
  1538.   assign IBusSimplePlugin_rspJoin_join_payload_isRvc = IBusSimplePlugin_rspJoin_fetchRsp_isRvc;
  1539.   assign IBusSimplePlugin_iBusRsp_stages_1_output_ready = (IBusSimplePlugin_iBusRsp_stages_1_output_valid ? (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready) : IBusSimplePlugin_rspJoin_join_ready);
  1540.   assign IBusSimplePlugin_rspJoin_rspBufferOutput_ready = (IBusSimplePlugin_rspJoin_join_valid && IBusSimplePlugin_rspJoin_join_ready);
  1541.   assign _zz_71_ = (! IBusSimplePlugin_rspJoin_issueDetected);
  1542.   assign IBusSimplePlugin_rspJoin_join_ready = (IBusSimplePlugin_iBusRsp_inputBeforeStage_ready && _zz_71_);
  1543.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_valid = (IBusSimplePlugin_rspJoin_join_valid && _zz_71_);
  1544.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_pc = IBusSimplePlugin_rspJoin_join_payload_pc;
  1545.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_error = IBusSimplePlugin_rspJoin_join_payload_rsp_error;
  1546.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_raw = IBusSimplePlugin_rspJoin_join_payload_rsp_inst;
  1547.   assign IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_isRvc = IBusSimplePlugin_rspJoin_join_payload_isRvc;
  1548.   assign execute_DBusSimplePlugin_cmdSent = 1'b0;
  1549.   assign dBus_cmd_valid = (((((execute_arbitration_isValid && execute_MEMORY_ENABLE) && (! execute_arbitration_isStuckByOthers)) && (! execute_arbitration_isFlushed)) && (! execute_ALIGNEMENT_FAULT)) && (! execute_DBusSimplePlugin_cmdSent));
  1550.   assign dBus_cmd_payload_wr = execute_INSTRUCTION[5];
  1551.   assign dBus_cmd_payload_address = execute_SRC_ADD;
  1552.   assign dBus_cmd_payload_size = execute_INSTRUCTION[13 : 12];
  1553.   always @ (*) begin
  1554.     case(dBus_cmd_payload_size)
  1555.       2'b00 : begin
  1556.         _zz_72_ = {{{execute_RS2[7 : 0],execute_RS2[7 : 0]},execute_RS2[7 : 0]},execute_RS2[7 : 0]};
  1557.       end
  1558.       2'b01 : begin
  1559.         _zz_72_ = {execute_RS2[15 : 0],execute_RS2[15 : 0]};
  1560.       end
  1561.       default : begin
  1562.         _zz_72_ = execute_RS2[31 : 0];
  1563.       end
  1564.     endcase
  1565.   end
  1566.  
  1567.   assign dBus_cmd_payload_data = _zz_72_;
  1568.   assign _zz_52_ = dBus_cmd_payload_address[1 : 0];
  1569.   always @ (*) begin
  1570.     case(dBus_cmd_payload_size)
  1571.       2'b00 : begin
  1572.         _zz_73_ = (4'b0001);
  1573.       end
  1574.       2'b01 : begin
  1575.         _zz_73_ = (4'b0011);
  1576.       end
  1577.       default : begin
  1578.         _zz_73_ = (4'b1111);
  1579.       end
  1580.     endcase
  1581.   end
  1582.  
  1583.   assign execute_DBusSimplePlugin_formalMask = (_zz_73_ <<< dBus_cmd_payload_address[1 : 0]);
  1584.   assign _zz_51_ = dBus_rsp_data;
  1585.   always @ (*) begin
  1586.     writeBack_DBusSimplePlugin_rspShifted = writeBack_MEMORY_READ_DATA;
  1587.     case(writeBack_MEMORY_ADDRESS_LOW)
  1588.       2'b01 : begin
  1589.         writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[15 : 8];
  1590.       end
  1591.       2'b10 : begin
  1592.         writeBack_DBusSimplePlugin_rspShifted[15 : 0] = writeBack_MEMORY_READ_DATA[31 : 16];
  1593.       end
  1594.       2'b11 : begin
  1595.         writeBack_DBusSimplePlugin_rspShifted[7 : 0] = writeBack_MEMORY_READ_DATA[31 : 24];
  1596.       end
  1597.       default : begin
  1598.       end
  1599.     endcase
  1600.   end
  1601.  
  1602.   assign _zz_74_ = (writeBack_DBusSimplePlugin_rspShifted[7] && (! writeBack_INSTRUCTION[14]));
  1603.   always @ (*) begin
  1604.     _zz_75_[31] = _zz_74_;
  1605.     _zz_75_[30] = _zz_74_;
  1606.     _zz_75_[29] = _zz_74_;
  1607.     _zz_75_[28] = _zz_74_;
  1608.     _zz_75_[27] = _zz_74_;
  1609.     _zz_75_[26] = _zz_74_;
  1610.     _zz_75_[25] = _zz_74_;
  1611.     _zz_75_[24] = _zz_74_;
  1612.     _zz_75_[23] = _zz_74_;
  1613.     _zz_75_[22] = _zz_74_;
  1614.     _zz_75_[21] = _zz_74_;
  1615.     _zz_75_[20] = _zz_74_;
  1616.     _zz_75_[19] = _zz_74_;
  1617.     _zz_75_[18] = _zz_74_;
  1618.     _zz_75_[17] = _zz_74_;
  1619.     _zz_75_[16] = _zz_74_;
  1620.     _zz_75_[15] = _zz_74_;
  1621.     _zz_75_[14] = _zz_74_;
  1622.     _zz_75_[13] = _zz_74_;
  1623.     _zz_75_[12] = _zz_74_;
  1624.     _zz_75_[11] = _zz_74_;
  1625.     _zz_75_[10] = _zz_74_;
  1626.     _zz_75_[9] = _zz_74_;
  1627.     _zz_75_[8] = _zz_74_;
  1628.     _zz_75_[7 : 0] = writeBack_DBusSimplePlugin_rspShifted[7 : 0];
  1629.   end
  1630.  
  1631.   assign _zz_76_ = (writeBack_DBusSimplePlugin_rspShifted[15] && (! writeBack_INSTRUCTION[14]));
  1632.   always @ (*) begin
  1633.     _zz_77_[31] = _zz_76_;
  1634.     _zz_77_[30] = _zz_76_;
  1635.     _zz_77_[29] = _zz_76_;
  1636.     _zz_77_[28] = _zz_76_;
  1637.     _zz_77_[27] = _zz_76_;
  1638.     _zz_77_[26] = _zz_76_;
  1639.     _zz_77_[25] = _zz_76_;
  1640.     _zz_77_[24] = _zz_76_;
  1641.     _zz_77_[23] = _zz_76_;
  1642.     _zz_77_[22] = _zz_76_;
  1643.     _zz_77_[21] = _zz_76_;
  1644.     _zz_77_[20] = _zz_76_;
  1645.     _zz_77_[19] = _zz_76_;
  1646.     _zz_77_[18] = _zz_76_;
  1647.     _zz_77_[17] = _zz_76_;
  1648.     _zz_77_[16] = _zz_76_;
  1649.     _zz_77_[15 : 0] = writeBack_DBusSimplePlugin_rspShifted[15 : 0];
  1650.   end
  1651.  
  1652.   always @ (*) begin
  1653.     case(_zz_125_)
  1654.       2'b00 : begin
  1655.         writeBack_DBusSimplePlugin_rspFormated = _zz_75_;
  1656.       end
  1657.       2'b01 : begin
  1658.         writeBack_DBusSimplePlugin_rspFormated = _zz_77_;
  1659.       end
  1660.       default : begin
  1661.         writeBack_DBusSimplePlugin_rspFormated = writeBack_DBusSimplePlugin_rspShifted;
  1662.       end
  1663.     endcase
  1664.   end
  1665.  
  1666.   assign _zz_79_ = ((decode_INSTRUCTION & (32'b00000000000000000000000000100000)) == (32'b00000000000000000000000000000000));
  1667.   assign _zz_80_ = ((decode_INSTRUCTION & (32'b00000000000000000000000000000100)) == (32'b00000000000000000000000000000100));
  1668.   assign _zz_81_ = ((decode_INSTRUCTION & (32'b00000000000000000000000000010000)) == (32'b00000000000000000000000000010000));
  1669.   assign _zz_82_ = ((decode_INSTRUCTION & (32'b00000000000000000000000000010100)) == (32'b00000000000000000000000000000100));
  1670.   assign _zz_78_ = {({(_zz_165_ == _zz_166_),(_zz_167_ == _zz_168_)} != (2'b00)),{(_zz_81_ != (1'b0)),{({_zz_169_,_zz_170_} != (2'b00)),{(_zz_171_ != _zz_172_),{_zz_173_,{_zz_174_,_zz_175_}}}}}};
  1671.   assign _zz_49_ = _zz_137_[0];
  1672.   assign _zz_83_ = _zz_78_[2 : 1];
  1673.   assign _zz_48_ = _zz_83_;
  1674.   assign _zz_47_ = _zz_138_[0];
  1675.   assign _zz_84_ = _zz_78_[5 : 4];
  1676.   assign _zz_46_ = _zz_84_;
  1677.   assign _zz_45_ = _zz_139_[0];
  1678.   assign _zz_44_ = _zz_140_[0];
  1679.   assign _zz_43_ = _zz_141_[0];
  1680.   assign _zz_42_ = _zz_142_[0];
  1681.   assign _zz_85_ = _zz_78_[12 : 11];
  1682.   assign _zz_41_ = _zz_85_;
  1683.   assign _zz_86_ = _zz_78_[14 : 13];
  1684.   assign _zz_40_ = _zz_86_;
  1685.   assign _zz_87_ = _zz_78_[16 : 15];
  1686.   assign _zz_39_ = _zz_87_;
  1687.   assign _zz_88_ = _zz_78_[18 : 17];
  1688.   assign _zz_38_ = _zz_88_;
  1689.   assign _zz_37_ = _zz_143_[0];
  1690.   assign _zz_36_ = _zz_144_[0];
  1691.   assign decode_RegFilePlugin_regFileReadAddress1 = decode_INSTRUCTION_ANTICIPATED[19 : 15];
  1692.   assign decode_RegFilePlugin_regFileReadAddress2 = decode_INSTRUCTION_ANTICIPATED[24 : 20];
  1693.   assign decode_RegFilePlugin_rs1Data = _zz_114_;
  1694.   assign decode_RegFilePlugin_rs2Data = _zz_115_;
  1695.   assign _zz_35_ = decode_RegFilePlugin_rs1Data;
  1696.   assign _zz_34_ = decode_RegFilePlugin_rs2Data;
  1697.   always @ (*) begin
  1698.     writeBack_RegFilePlugin_regFileWrite_valid = (_zz_32_ && writeBack_arbitration_isFiring);
  1699.     if(_zz_89_)begin
  1700.       writeBack_RegFilePlugin_regFileWrite_valid = 1'b1;
  1701.     end
  1702.   end
  1703.  
  1704.   assign writeBack_RegFilePlugin_regFileWrite_payload_address = _zz_31_[11 : 7];
  1705.   assign writeBack_RegFilePlugin_regFileWrite_payload_data = _zz_50_;
  1706.   always @ (*) begin
  1707.     case(execute_ALU_BITWISE_CTRL)
  1708.       `AluBitwiseCtrlEnum_defaultEncoding_AND_1 : begin
  1709.         execute_IntAluPlugin_bitwise = (execute_SRC1 & execute_SRC2);
  1710.       end
  1711.       `AluBitwiseCtrlEnum_defaultEncoding_OR_1 : begin
  1712.         execute_IntAluPlugin_bitwise = (execute_SRC1 | execute_SRC2);
  1713.       end
  1714.       `AluBitwiseCtrlEnum_defaultEncoding_XOR_1 : begin
  1715.         execute_IntAluPlugin_bitwise = (execute_SRC1 ^ execute_SRC2);
  1716.       end
  1717.       default : begin
  1718.         execute_IntAluPlugin_bitwise = execute_SRC1;
  1719.       end
  1720.     endcase
  1721.   end
  1722.  
  1723.   always @ (*) begin
  1724.     case(execute_ALU_CTRL)
  1725.       `AluCtrlEnum_defaultEncoding_BITWISE : begin
  1726.         _zz_90_ = execute_IntAluPlugin_bitwise;
  1727.       end
  1728.       `AluCtrlEnum_defaultEncoding_SLT_SLTU : begin
  1729.         _zz_90_ = {31'd0, _zz_145_};
  1730.       end
  1731.       default : begin
  1732.         _zz_90_ = execute_SRC_ADD_SUB;
  1733.       end
  1734.     endcase
  1735.   end
  1736.  
  1737.   assign _zz_29_ = _zz_90_;
  1738.   always @ (*) begin
  1739.     case(decode_SRC1_CTRL)
  1740.       `Src1CtrlEnum_defaultEncoding_RS : begin
  1741.         _zz_91_ = _zz_25_;
  1742.       end
  1743.       `Src1CtrlEnum_defaultEncoding_PC_INCREMENT : begin
  1744.         _zz_91_ = {29'd0, _zz_146_};
  1745.       end
  1746.       `Src1CtrlEnum_defaultEncoding_IMU : begin
  1747.         _zz_91_ = {decode_INSTRUCTION[31 : 12],(12'b000000000000)};
  1748.       end
  1749.       default : begin
  1750.         _zz_91_ = {27'd0, _zz_147_};
  1751.       end
  1752.     endcase
  1753.   end
  1754.  
  1755.   assign _zz_27_ = _zz_91_;
  1756.   assign _zz_92_ = _zz_148_[11];
  1757.   always @ (*) begin
  1758.     _zz_93_[19] = _zz_92_;
  1759.     _zz_93_[18] = _zz_92_;
  1760.     _zz_93_[17] = _zz_92_;
  1761.     _zz_93_[16] = _zz_92_;
  1762.     _zz_93_[15] = _zz_92_;
  1763.     _zz_93_[14] = _zz_92_;
  1764.     _zz_93_[13] = _zz_92_;
  1765.     _zz_93_[12] = _zz_92_;
  1766.     _zz_93_[11] = _zz_92_;
  1767.     _zz_93_[10] = _zz_92_;
  1768.     _zz_93_[9] = _zz_92_;
  1769.     _zz_93_[8] = _zz_92_;
  1770.     _zz_93_[7] = _zz_92_;
  1771.     _zz_93_[6] = _zz_92_;
  1772.     _zz_93_[5] = _zz_92_;
  1773.     _zz_93_[4] = _zz_92_;
  1774.     _zz_93_[3] = _zz_92_;
  1775.     _zz_93_[2] = _zz_92_;
  1776.     _zz_93_[1] = _zz_92_;
  1777.     _zz_93_[0] = _zz_92_;
  1778.   end
  1779.  
  1780.   assign _zz_94_ = _zz_149_[11];
  1781.   always @ (*) begin
  1782.     _zz_95_[19] = _zz_94_;
  1783.     _zz_95_[18] = _zz_94_;
  1784.     _zz_95_[17] = _zz_94_;
  1785.     _zz_95_[16] = _zz_94_;
  1786.     _zz_95_[15] = _zz_94_;
  1787.     _zz_95_[14] = _zz_94_;
  1788.     _zz_95_[13] = _zz_94_;
  1789.     _zz_95_[12] = _zz_94_;
  1790.     _zz_95_[11] = _zz_94_;
  1791.     _zz_95_[10] = _zz_94_;
  1792.     _zz_95_[9] = _zz_94_;
  1793.     _zz_95_[8] = _zz_94_;
  1794.     _zz_95_[7] = _zz_94_;
  1795.     _zz_95_[6] = _zz_94_;
  1796.     _zz_95_[5] = _zz_94_;
  1797.     _zz_95_[4] = _zz_94_;
  1798.     _zz_95_[3] = _zz_94_;
  1799.     _zz_95_[2] = _zz_94_;
  1800.     _zz_95_[1] = _zz_94_;
  1801.     _zz_95_[0] = _zz_94_;
  1802.   end
  1803.  
  1804.   always @ (*) begin
  1805.     case(decode_SRC2_CTRL)
  1806.       `Src2CtrlEnum_defaultEncoding_RS : begin
  1807.         _zz_96_ = _zz_22_;
  1808.       end
  1809.       `Src2CtrlEnum_defaultEncoding_IMI : begin
  1810.         _zz_96_ = {_zz_93_,decode_INSTRUCTION[31 : 20]};
  1811.       end
  1812.       `Src2CtrlEnum_defaultEncoding_IMS : begin
  1813.         _zz_96_ = {_zz_95_,{decode_INSTRUCTION[31 : 25],decode_INSTRUCTION[11 : 7]}};
  1814.       end
  1815.       default : begin
  1816.         _zz_96_ = _zz_21_;
  1817.       end
  1818.     endcase
  1819.   end
  1820.  
  1821.   assign _zz_24_ = _zz_96_;
  1822.   assign execute_SrcPlugin_addSub = _zz_150_;
  1823.   assign execute_SrcPlugin_less = ((execute_SRC1[31] == execute_SRC2[31]) ? execute_SrcPlugin_addSub[31] : (execute_SRC_LESS_UNSIGNED ? execute_SRC2[31] : execute_SRC1[31]));
  1824.   assign _zz_20_ = execute_SrcPlugin_addSub;
  1825.   assign _zz_19_ = execute_SrcPlugin_addSub;
  1826.   assign _zz_18_ = execute_SrcPlugin_less;
  1827.   assign execute_LightShifterPlugin_isShift = (execute_SHIFT_CTRL != `ShiftCtrlEnum_defaultEncoding_DISABLE_1);
  1828.   assign execute_LightShifterPlugin_amplitude = (execute_LightShifterPlugin_isActive ? execute_LightShifterPlugin_amplitudeReg : execute_SRC2[4 : 0]);
  1829.   assign execute_LightShifterPlugin_shiftInput = (execute_LightShifterPlugin_isActive ? memory_REGFILE_WRITE_DATA : execute_SRC1);
  1830.   assign execute_LightShifterPlugin_done = (execute_LightShifterPlugin_amplitude[4 : 1] == (4'b0000));
  1831.   always @ (*) begin
  1832.     case(execute_SHIFT_CTRL)
  1833.       `ShiftCtrlEnum_defaultEncoding_SLL_1 : begin
  1834.         _zz_97_ = (execute_LightShifterPlugin_shiftInput <<< 1);
  1835.       end
  1836.       default : begin
  1837.         _zz_97_ = _zz_158_;
  1838.       end
  1839.     endcase
  1840.   end
  1841.  
  1842.   always @ (*) begin
  1843.     _zz_98_ = 1'b0;
  1844.     if(_zz_101_)begin
  1845.       if((_zz_102_ == decode_INSTRUCTION[19 : 15]))begin
  1846.         _zz_98_ = 1'b1;
  1847.       end
  1848.     end
  1849.     if(_zz_119_)begin
  1850.       if(_zz_120_)begin
  1851.         if((writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]))begin
  1852.           _zz_98_ = 1'b1;
  1853.         end
  1854.       end
  1855.     end
  1856.     if(_zz_121_)begin
  1857.       if(_zz_122_)begin
  1858.         if((memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]))begin
  1859.           _zz_98_ = 1'b1;
  1860.         end
  1861.       end
  1862.     end
  1863.     if(_zz_123_)begin
  1864.       if(_zz_124_)begin
  1865.         if((execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[19 : 15]))begin
  1866.           _zz_98_ = 1'b1;
  1867.         end
  1868.       end
  1869.     end
  1870.     if((! decode_RS1_USE))begin
  1871.       _zz_98_ = 1'b0;
  1872.     end
  1873.   end
  1874.  
  1875.   always @ (*) begin
  1876.     _zz_99_ = 1'b0;
  1877.     if(_zz_101_)begin
  1878.       if((_zz_102_ == decode_INSTRUCTION[24 : 20]))begin
  1879.         _zz_99_ = 1'b1;
  1880.       end
  1881.     end
  1882.     if(_zz_119_)begin
  1883.       if(_zz_120_)begin
  1884.         if((writeBack_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]))begin
  1885.           _zz_99_ = 1'b1;
  1886.         end
  1887.       end
  1888.     end
  1889.     if(_zz_121_)begin
  1890.       if(_zz_122_)begin
  1891.         if((memory_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]))begin
  1892.           _zz_99_ = 1'b1;
  1893.         end
  1894.       end
  1895.     end
  1896.     if(_zz_123_)begin
  1897.       if(_zz_124_)begin
  1898.         if((execute_INSTRUCTION[11 : 7] == decode_INSTRUCTION[24 : 20]))begin
  1899.           _zz_99_ = 1'b1;
  1900.         end
  1901.       end
  1902.     end
  1903.     if((! decode_RS2_USE))begin
  1904.       _zz_99_ = 1'b0;
  1905.     end
  1906.   end
  1907.  
  1908.   assign _zz_100_ = (_zz_32_ && writeBack_arbitration_isFiring);
  1909.   assign execute_BranchPlugin_eq = (execute_SRC1 == execute_SRC2);
  1910.   assign _zz_103_ = execute_INSTRUCTION[14 : 12];
  1911.   always @ (*) begin
  1912.     if((_zz_103_ == (3'b000))) begin
  1913.         _zz_104_ = execute_BranchPlugin_eq;
  1914.     end else if((_zz_103_ == (3'b001))) begin
  1915.         _zz_104_ = (! execute_BranchPlugin_eq);
  1916.     end else if((((_zz_103_ & (3'b101)) == (3'b101)))) begin
  1917.         _zz_104_ = (! execute_SRC_LESS);
  1918.     end else begin
  1919.         _zz_104_ = execute_SRC_LESS;
  1920.     end
  1921.   end
  1922.  
  1923.   always @ (*) begin
  1924.     case(execute_BRANCH_CTRL)
  1925.       `BranchCtrlEnum_defaultEncoding_INC : begin
  1926.         _zz_105_ = 1'b0;
  1927.       end
  1928.       `BranchCtrlEnum_defaultEncoding_JAL : begin
  1929.         _zz_105_ = 1'b1;
  1930.       end
  1931.       `BranchCtrlEnum_defaultEncoding_JALR : begin
  1932.         _zz_105_ = 1'b1;
  1933.       end
  1934.       default : begin
  1935.         _zz_105_ = _zz_104_;
  1936.       end
  1937.     endcase
  1938.   end
  1939.  
  1940.   assign _zz_15_ = _zz_105_;
  1941.   assign execute_BranchPlugin_branch_src1 = ((execute_BRANCH_CTRL == `BranchCtrlEnum_defaultEncoding_JALR) ? execute_RS1 : execute_PC);
  1942.   assign _zz_106_ = _zz_160_[19];
  1943.   always @ (*) begin
  1944.     _zz_107_[10] = _zz_106_;
  1945.     _zz_107_[9] = _zz_106_;
  1946.     _zz_107_[8] = _zz_106_;
  1947.     _zz_107_[7] = _zz_106_;
  1948.     _zz_107_[6] = _zz_106_;
  1949.     _zz_107_[5] = _zz_106_;
  1950.     _zz_107_[4] = _zz_106_;
  1951.     _zz_107_[3] = _zz_106_;
  1952.     _zz_107_[2] = _zz_106_;
  1953.     _zz_107_[1] = _zz_106_;
  1954.     _zz_107_[0] = _zz_106_;
  1955.   end
  1956.  
  1957.   assign _zz_108_ = _zz_161_[11];
  1958.   always @ (*) begin
  1959.     _zz_109_[19] = _zz_108_;
  1960.     _zz_109_[18] = _zz_108_;
  1961.     _zz_109_[17] = _zz_108_;
  1962.     _zz_109_[16] = _zz_108_;
  1963.     _zz_109_[15] = _zz_108_;
  1964.     _zz_109_[14] = _zz_108_;
  1965.     _zz_109_[13] = _zz_108_;
  1966.     _zz_109_[12] = _zz_108_;
  1967.     _zz_109_[11] = _zz_108_;
  1968.     _zz_109_[10] = _zz_108_;
  1969.     _zz_109_[9] = _zz_108_;
  1970.     _zz_109_[8] = _zz_108_;
  1971.     _zz_109_[7] = _zz_108_;
  1972.     _zz_109_[6] = _zz_108_;
  1973.     _zz_109_[5] = _zz_108_;
  1974.     _zz_109_[4] = _zz_108_;
  1975.     _zz_109_[3] = _zz_108_;
  1976.     _zz_109_[2] = _zz_108_;
  1977.     _zz_109_[1] = _zz_108_;
  1978.     _zz_109_[0] = _zz_108_;
  1979.   end
  1980.  
  1981.   assign _zz_110_ = _zz_162_[11];
  1982.   always @ (*) begin
  1983.     _zz_111_[18] = _zz_110_;
  1984.     _zz_111_[17] = _zz_110_;
  1985.     _zz_111_[16] = _zz_110_;
  1986.     _zz_111_[15] = _zz_110_;
  1987.     _zz_111_[14] = _zz_110_;
  1988.     _zz_111_[13] = _zz_110_;
  1989.     _zz_111_[12] = _zz_110_;
  1990.     _zz_111_[11] = _zz_110_;
  1991.     _zz_111_[10] = _zz_110_;
  1992.     _zz_111_[9] = _zz_110_;
  1993.     _zz_111_[8] = _zz_110_;
  1994.     _zz_111_[7] = _zz_110_;
  1995.     _zz_111_[6] = _zz_110_;
  1996.     _zz_111_[5] = _zz_110_;
  1997.     _zz_111_[4] = _zz_110_;
  1998.     _zz_111_[3] = _zz_110_;
  1999.     _zz_111_[2] = _zz_110_;
  2000.     _zz_111_[1] = _zz_110_;
  2001.     _zz_111_[0] = _zz_110_;
  2002.   end
  2003.  
  2004.   always @ (*) begin
  2005.     case(execute_BRANCH_CTRL)
  2006.       `BranchCtrlEnum_defaultEncoding_JAL : begin
  2007.         _zz_112_ = {{_zz_107_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[19 : 12]},execute_INSTRUCTION[20]},execute_INSTRUCTION[30 : 21]}},1'b0};
  2008.       end
  2009.       `BranchCtrlEnum_defaultEncoding_JALR : begin
  2010.         _zz_112_ = {_zz_109_,execute_INSTRUCTION[31 : 20]};
  2011.       end
  2012.       default : begin
  2013.         _zz_112_ = {{_zz_111_,{{{execute_INSTRUCTION[31],execute_INSTRUCTION[7]},execute_INSTRUCTION[30 : 25]},execute_INSTRUCTION[11 : 8]}},1'b0};
  2014.       end
  2015.     endcase
  2016.   end
  2017.  
  2018.   assign execute_BranchPlugin_branch_src2 = _zz_112_;
  2019.   assign execute_BranchPlugin_branchAdder = (execute_BranchPlugin_branch_src1 + execute_BranchPlugin_branch_src2);
  2020.   assign _zz_13_ = {execute_BranchPlugin_branchAdder[31 : 1],(1'b0)};
  2021.   assign _zz_58_ = ((memory_arbitration_isValid && (! memory_arbitration_isStuckByOthers)) && memory_BRANCH_DO);
  2022.   assign _zz_12_ = decode_BRANCH_CTRL;
  2023.   assign _zz_10_ = _zz_48_;
  2024.   assign _zz_14_ = decode_to_execute_BRANCH_CTRL;
  2025.   assign _zz_23_ = _zz_41_;
  2026.   assign _zz_9_ = decode_ALU_BITWISE_CTRL;
  2027.   assign _zz_7_ = _zz_38_;
  2028.   assign _zz_30_ = decode_to_execute_ALU_BITWISE_CTRL;
  2029.   assign _zz_26_ = _zz_40_;
  2030.   assign _zz_6_ = decode_SHIFT_CTRL;
  2031.   assign _zz_4_ = _zz_39_;
  2032.   assign _zz_17_ = decode_to_execute_SHIFT_CTRL;
  2033.   assign _zz_3_ = decode_ALU_CTRL;
  2034.   assign _zz_1_ = _zz_46_;
  2035.   assign _zz_28_ = decode_to_execute_ALU_CTRL;
  2036.   assign decode_arbitration_isFlushed = ({writeBack_arbitration_flushAll,{memory_arbitration_flushAll,{execute_arbitration_flushAll,decode_arbitration_flushAll}}} != (4'b0000));
  2037.   assign execute_arbitration_isFlushed = ({writeBack_arbitration_flushAll,{memory_arbitration_flushAll,execute_arbitration_flushAll}} != (3'b000));
  2038.   assign memory_arbitration_isFlushed = ({writeBack_arbitration_flushAll,memory_arbitration_flushAll} != (2'b00));
  2039.   assign writeBack_arbitration_isFlushed = (writeBack_arbitration_flushAll != (1'b0));
  2040.   assign decode_arbitration_isStuckByOthers = (decode_arbitration_haltByOther || (((1'b0 || execute_arbitration_isStuck) || memory_arbitration_isStuck) || writeBack_arbitration_isStuck));
  2041.   assign decode_arbitration_isStuck = (decode_arbitration_haltItself || decode_arbitration_isStuckByOthers);
  2042.   assign decode_arbitration_isMoving = ((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt));
  2043.   assign decode_arbitration_isFiring = ((decode_arbitration_isValid && (! decode_arbitration_isStuck)) && (! decode_arbitration_removeIt));
  2044.   assign execute_arbitration_isStuckByOthers = (execute_arbitration_haltByOther || ((1'b0 || memory_arbitration_isStuck) || writeBack_arbitration_isStuck));
  2045.   assign execute_arbitration_isStuck = (execute_arbitration_haltItself || execute_arbitration_isStuckByOthers);
  2046.   assign execute_arbitration_isMoving = ((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt));
  2047.   assign execute_arbitration_isFiring = ((execute_arbitration_isValid && (! execute_arbitration_isStuck)) && (! execute_arbitration_removeIt));
  2048.   assign memory_arbitration_isStuckByOthers = (memory_arbitration_haltByOther || (1'b0 || writeBack_arbitration_isStuck));
  2049.   assign memory_arbitration_isStuck = (memory_arbitration_haltItself || memory_arbitration_isStuckByOthers);
  2050.   assign memory_arbitration_isMoving = ((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt));
  2051.   assign memory_arbitration_isFiring = ((memory_arbitration_isValid && (! memory_arbitration_isStuck)) && (! memory_arbitration_removeIt));
  2052.   assign writeBack_arbitration_isStuckByOthers = (writeBack_arbitration_haltByOther || 1'b0);
  2053.   assign writeBack_arbitration_isStuck = (writeBack_arbitration_haltItself || writeBack_arbitration_isStuckByOthers);
  2054.   assign writeBack_arbitration_isMoving = ((! writeBack_arbitration_isStuck) && (! writeBack_arbitration_removeIt));
  2055.   assign writeBack_arbitration_isFiring = ((writeBack_arbitration_isValid && (! writeBack_arbitration_isStuck)) && (! writeBack_arbitration_removeIt));
  2056.   always @ (posedge clk or posedge reset) begin
  2057.     if (reset) begin
  2058.       IBusSimplePlugin_fetchPc_pcReg <= (32'b10000000000000000000000000000000);
  2059.       IBusSimplePlugin_fetchPc_inc <= 1'b0;
  2060.       _zz_60_ <= 1'b0;
  2061.       _zz_65_ <= 1'b0;
  2062.       _zz_66_ <= 1'b0;
  2063.       IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0;
  2064.       IBusSimplePlugin_injector_nextPcCalc_0 <= 1'b0;
  2065.       IBusSimplePlugin_injector_nextPcCalc_1 <= 1'b0;
  2066.       IBusSimplePlugin_injector_nextPcCalc_2 <= 1'b0;
  2067.       IBusSimplePlugin_injector_nextPcCalc_3 <= 1'b0;
  2068.       IBusSimplePlugin_injector_decodeRemoved <= 1'b0;
  2069.       IBusSimplePlugin_pendingCmd <= (3'b000);
  2070.       IBusSimplePlugin_rspJoin_discardCounter <= (3'b000);
  2071.       _zz_89_ <= 1'b1;
  2072.       execute_LightShifterPlugin_isActive <= 1'b0;
  2073.       _zz_101_ <= 1'b0;
  2074.       execute_arbitration_isValid <= 1'b0;
  2075.       memory_arbitration_isValid <= 1'b0;
  2076.       writeBack_arbitration_isValid <= 1'b0;
  2077.       memory_to_writeBack_REGFILE_WRITE_DATA <= (32'b00000000000000000000000000000000);
  2078.       memory_to_writeBack_INSTRUCTION <= (32'b00000000000000000000000000000000);
  2079.     end else begin
  2080.       if(IBusSimplePlugin_fetchPc_propagatePc)begin
  2081.         IBusSimplePlugin_fetchPc_inc <= 1'b0;
  2082.       end
  2083.       if(IBusSimplePlugin_jump_pcLoad_valid)begin
  2084.         IBusSimplePlugin_fetchPc_inc <= 1'b0;
  2085.       end
  2086.       if(_zz_118_)begin
  2087.         IBusSimplePlugin_fetchPc_inc <= 1'b1;
  2088.       end
  2089.       if(IBusSimplePlugin_fetchPc_samplePcNext)begin
  2090.         IBusSimplePlugin_fetchPc_pcReg <= IBusSimplePlugin_fetchPc_pc;
  2091.       end
  2092.       _zz_60_ <= 1'b1;
  2093.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2094.         _zz_65_ <= 1'b0;
  2095.       end
  2096.       if(_zz_63_)begin
  2097.         _zz_65_ <= IBusSimplePlugin_iBusRsp_stages_0_output_valid;
  2098.       end
  2099.       if(IBusSimplePlugin_iBusRsp_inputBeforeStage_ready)begin
  2100.         _zz_66_ <= IBusSimplePlugin_iBusRsp_inputBeforeStage_valid;
  2101.       end
  2102.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2103.         _zz_66_ <= 1'b0;
  2104.       end
  2105.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2106.         IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b0;
  2107.       end
  2108.       if((! (! IBusSimplePlugin_iBusRsp_stages_1_input_ready)))begin
  2109.         IBusSimplePlugin_injector_nextPcCalc_valids_0 <= 1'b1;
  2110.       end
  2111.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2112.         IBusSimplePlugin_injector_nextPcCalc_0 <= 1'b0;
  2113.       end
  2114.       if((! (! IBusSimplePlugin_injector_decodeInput_ready)))begin
  2115.         IBusSimplePlugin_injector_nextPcCalc_0 <= IBusSimplePlugin_injector_nextPcCalc_valids_0;
  2116.       end
  2117.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2118.         IBusSimplePlugin_injector_nextPcCalc_0 <= 1'b0;
  2119.       end
  2120.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2121.         IBusSimplePlugin_injector_nextPcCalc_1 <= 1'b0;
  2122.       end
  2123.       if((! execute_arbitration_isStuck))begin
  2124.         IBusSimplePlugin_injector_nextPcCalc_1 <= IBusSimplePlugin_injector_nextPcCalc_0;
  2125.       end
  2126.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2127.         IBusSimplePlugin_injector_nextPcCalc_1 <= 1'b0;
  2128.       end
  2129.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2130.         IBusSimplePlugin_injector_nextPcCalc_2 <= 1'b0;
  2131.       end
  2132.       if((! memory_arbitration_isStuck))begin
  2133.         IBusSimplePlugin_injector_nextPcCalc_2 <= IBusSimplePlugin_injector_nextPcCalc_1;
  2134.       end
  2135.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2136.         IBusSimplePlugin_injector_nextPcCalc_2 <= 1'b0;
  2137.       end
  2138.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2139.         IBusSimplePlugin_injector_nextPcCalc_3 <= 1'b0;
  2140.       end
  2141.       if((! writeBack_arbitration_isStuck))begin
  2142.         IBusSimplePlugin_injector_nextPcCalc_3 <= IBusSimplePlugin_injector_nextPcCalc_2;
  2143.       end
  2144.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2145.         IBusSimplePlugin_injector_nextPcCalc_3 <= 1'b0;
  2146.       end
  2147.       if(decode_arbitration_removeIt)begin
  2148.         IBusSimplePlugin_injector_decodeRemoved <= 1'b1;
  2149.       end
  2150.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2151.         IBusSimplePlugin_injector_decodeRemoved <= 1'b0;
  2152.       end
  2153.       IBusSimplePlugin_pendingCmd <= IBusSimplePlugin_pendingCmdNext;
  2154.       IBusSimplePlugin_rspJoin_discardCounter <= (IBusSimplePlugin_rspJoin_discardCounter - _zz_134_);
  2155.       if((IBusSimplePlugin_jump_pcLoad_valid || _zz_57_))begin
  2156.         IBusSimplePlugin_rspJoin_discardCounter <= (IBusSimplePlugin_pendingCmd - _zz_136_);
  2157.       end
  2158.       _zz_89_ <= 1'b0;
  2159.       if(_zz_116_)begin
  2160.         if(_zz_117_)begin
  2161.           execute_LightShifterPlugin_isActive <= 1'b1;
  2162.           if(execute_LightShifterPlugin_done)begin
  2163.             execute_LightShifterPlugin_isActive <= 1'b0;
  2164.           end
  2165.         end
  2166.       end
  2167.       if(execute_arbitration_removeIt)begin
  2168.         execute_LightShifterPlugin_isActive <= 1'b0;
  2169.       end
  2170.       _zz_101_ <= _zz_100_;
  2171.       if((! writeBack_arbitration_isStuck))begin
  2172.         memory_to_writeBack_INSTRUCTION <= memory_INSTRUCTION;
  2173.       end
  2174.       if((! writeBack_arbitration_isStuck))begin
  2175.         memory_to_writeBack_REGFILE_WRITE_DATA <= memory_REGFILE_WRITE_DATA;
  2176.       end
  2177.       if(((! execute_arbitration_isStuck) || execute_arbitration_removeIt))begin
  2178.         execute_arbitration_isValid <= 1'b0;
  2179.       end
  2180.       if(((! decode_arbitration_isStuck) && (! decode_arbitration_removeIt)))begin
  2181.         execute_arbitration_isValid <= decode_arbitration_isValid;
  2182.       end
  2183.       if(((! memory_arbitration_isStuck) || memory_arbitration_removeIt))begin
  2184.         memory_arbitration_isValid <= 1'b0;
  2185.       end
  2186.       if(((! execute_arbitration_isStuck) && (! execute_arbitration_removeIt)))begin
  2187.         memory_arbitration_isValid <= execute_arbitration_isValid;
  2188.       end
  2189.       if(((! writeBack_arbitration_isStuck) || writeBack_arbitration_removeIt))begin
  2190.         writeBack_arbitration_isValid <= 1'b0;
  2191.       end
  2192.       if(((! memory_arbitration_isStuck) && (! memory_arbitration_removeIt)))begin
  2193.         writeBack_arbitration_isValid <= memory_arbitration_isValid;
  2194.       end
  2195.     end
  2196.   end
  2197.  
  2198.   always @ (posedge clk) begin
  2199.     if(IBusSimplePlugin_iBusRsp_inputBeforeStage_ready)begin
  2200.       _zz_67_ <= IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_pc;
  2201.       _zz_68_ <= IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_error;
  2202.       _zz_69_ <= IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_raw;
  2203.       _zz_70_ <= IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_isRvc;
  2204.     end
  2205.     if(IBusSimplePlugin_injector_decodeInput_ready)begin
  2206.       IBusSimplePlugin_injector_formal_rawInDecode <= IBusSimplePlugin_iBusRsp_inputBeforeStage_payload_rsp_raw;
  2207.     end
  2208.     if(!(! (((dBus_rsp_ready && memory_MEMORY_ENABLE) && memory_arbitration_isValid) && memory_arbitration_isStuck))) begin
  2209.     end
  2210.     if(!(! (((writeBack_arbitration_isValid && writeBack_MEMORY_ENABLE) && (! writeBack_INSTRUCTION[5])) && writeBack_arbitration_isStuck))) begin
  2211.     end
  2212.     if(_zz_116_)begin
  2213.       if(_zz_117_)begin
  2214.         execute_LightShifterPlugin_amplitudeReg <= (execute_LightShifterPlugin_amplitude - (5'b00001));
  2215.       end
  2216.     end
  2217.     if(_zz_100_)begin
  2218.       _zz_102_ <= _zz_31_[11 : 7];
  2219.     end
  2220.     if((! execute_arbitration_isStuck))begin
  2221.       decode_to_execute_BRANCH_CTRL <= _zz_11_;
  2222.     end
  2223.     if((! execute_arbitration_isStuck))begin
  2224.       decode_to_execute_MEMORY_ENABLE <= decode_MEMORY_ENABLE;
  2225.     end
  2226.     if((! memory_arbitration_isStuck))begin
  2227.       execute_to_memory_MEMORY_ENABLE <= execute_MEMORY_ENABLE;
  2228.     end
  2229.     if((! writeBack_arbitration_isStuck))begin
  2230.       memory_to_writeBack_MEMORY_ENABLE <= memory_MEMORY_ENABLE;
  2231.     end
  2232.     if((! execute_arbitration_isStuck))begin
  2233.       decode_to_execute_SRC2 <= decode_SRC2;
  2234.     end
  2235.     if((! execute_arbitration_isStuck))begin
  2236.       decode_to_execute_FORMAL_PC_NEXT <= decode_FORMAL_PC_NEXT;
  2237.     end
  2238.     if((! memory_arbitration_isStuck))begin
  2239.       execute_to_memory_FORMAL_PC_NEXT <= execute_FORMAL_PC_NEXT;
  2240.     end
  2241.     if((! execute_arbitration_isStuck))begin
  2242.       decode_to_execute_REGFILE_WRITE_VALID <= decode_REGFILE_WRITE_VALID;
  2243.     end
  2244.     if((! memory_arbitration_isStuck))begin
  2245.       execute_to_memory_REGFILE_WRITE_VALID <= execute_REGFILE_WRITE_VALID;
  2246.     end
  2247.     if((! writeBack_arbitration_isStuck))begin
  2248.       memory_to_writeBack_REGFILE_WRITE_VALID <= memory_REGFILE_WRITE_VALID;
  2249.     end
  2250.     if((! memory_arbitration_isStuck))begin
  2251.       execute_to_memory_MEMORY_ADDRESS_LOW <= execute_MEMORY_ADDRESS_LOW;
  2252.     end
  2253.     if((! writeBack_arbitration_isStuck))begin
  2254.       memory_to_writeBack_MEMORY_ADDRESS_LOW <= memory_MEMORY_ADDRESS_LOW;
  2255.     end
  2256.     if((! execute_arbitration_isStuck))begin
  2257.       decode_to_execute_SRC_LESS_UNSIGNED <= decode_SRC_LESS_UNSIGNED;
  2258.     end
  2259.     if((! execute_arbitration_isStuck))begin
  2260.       decode_to_execute_BYPASSABLE_MEMORY_STAGE <= decode_BYPASSABLE_MEMORY_STAGE;
  2261.     end
  2262.     if((! memory_arbitration_isStuck))begin
  2263.       execute_to_memory_BYPASSABLE_MEMORY_STAGE <= execute_BYPASSABLE_MEMORY_STAGE;
  2264.     end
  2265.     if((! execute_arbitration_isStuck))begin
  2266.       decode_to_execute_BYPASSABLE_EXECUTE_STAGE <= decode_BYPASSABLE_EXECUTE_STAGE;
  2267.     end
  2268.     if((! execute_arbitration_isStuck))begin
  2269.       decode_to_execute_PC <= _zz_21_;
  2270.     end
  2271.     if((! memory_arbitration_isStuck))begin
  2272.       execute_to_memory_PC <= execute_PC;
  2273.     end
  2274.     if((! writeBack_arbitration_isStuck))begin
  2275.       memory_to_writeBack_PC <= memory_PC;
  2276.     end
  2277.     if((! execute_arbitration_isStuck))begin
  2278.       decode_to_execute_SRC_USE_SUB_LESS <= decode_SRC_USE_SUB_LESS;
  2279.     end
  2280.     if((! execute_arbitration_isStuck))begin
  2281.       decode_to_execute_RS2 <= _zz_22_;
  2282.     end
  2283.     if((! execute_arbitration_isStuck))begin
  2284.       decode_to_execute_SRC1 <= decode_SRC1;
  2285.     end
  2286.     if((! memory_arbitration_isStuck))begin
  2287.       execute_to_memory_BRANCH_DO <= execute_BRANCH_DO;
  2288.     end
  2289.     if((! execute_arbitration_isStuck))begin
  2290.       decode_to_execute_ALU_BITWISE_CTRL <= _zz_8_;
  2291.     end
  2292.     if((! execute_arbitration_isStuck))begin
  2293.       decode_to_execute_INSTRUCTION <= decode_INSTRUCTION;
  2294.     end
  2295.     if((! memory_arbitration_isStuck))begin
  2296.       execute_to_memory_INSTRUCTION <= execute_INSTRUCTION;
  2297.     end
  2298.     if((! memory_arbitration_isStuck))begin
  2299.       execute_to_memory_REGFILE_WRITE_DATA <= _zz_16_;
  2300.     end
  2301.     if((! writeBack_arbitration_isStuck))begin
  2302.       memory_to_writeBack_MEMORY_READ_DATA <= memory_MEMORY_READ_DATA;
  2303.     end
  2304.     if((! execute_arbitration_isStuck))begin
  2305.       decode_to_execute_RS1 <= _zz_25_;
  2306.     end
  2307.     if((! execute_arbitration_isStuck))begin
  2308.       decode_to_execute_SHIFT_CTRL <= _zz_5_;
  2309.     end
  2310.     if((! memory_arbitration_isStuck))begin
  2311.       execute_to_memory_BRANCH_CALC <= execute_BRANCH_CALC;
  2312.     end
  2313.     if((! execute_arbitration_isStuck))begin
  2314.       decode_to_execute_ALU_CTRL <= _zz_2_;
  2315.     end
  2316.   end
  2317.  
  2318. endmodule
Add Comment
Please, Sign In to add comment