Advertisement
Guest User

Untitled

a guest
Jan 16th, 2018
90
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module adder_4bit (A,B,Ci,S,Co);
  2. parameter data_size=4;
  3. input [data_size1:0]A;
  4. input [data_size1:0]B;
  5. input Ci;
  6. output [data_size1:0]S;
  7. output Co;
  8. assign{Co,S}=A+B+Ci;
  9. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement