Advertisement
duggabe

Pluto_NFM_xmt.grc

May 23rd, 2020
124
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.50 KB | None | 0 0
  1. options:
  2. parameters:
  3. author: Barry Duggan
  4. category: '[GRC Hier Blocks]'
  5. cmake_opt: ''
  6. comment: ''
  7. copyright: ''
  8. description: ''
  9. gen_cmake: 'On'
  10. gen_linking: dynamic
  11. generate_options: qt_gui
  12. hier_block_src_path: '.:'
  13. id: Pluto_NFM_xmt
  14. max_nouts: '0'
  15. output_language: python
  16. placement: (0,0)
  17. qt_qss_theme: ''
  18. realtime_scheduling: ''
  19. run: 'True'
  20. run_command: '{python} -u {filename}'
  21. run_options: prompt
  22. sizing_mode: fixed
  23. thread_safe_setters: ''
  24. title: Pluto NBFM transmit
  25. window_size: ''
  26. states:
  27. bus_sink: false
  28. bus_source: false
  29. bus_structure: null
  30. coordinate: [16, 12.0]
  31. rotation: 0
  32. state: enabled
  33.  
  34. blocks:
  35. - name: quad_rate
  36. id: variable
  37. parameters:
  38. comment: ''
  39. value: '576000'
  40. states:
  41. bus_sink: false
  42. bus_source: false
  43. bus_structure: null
  44. coordinate: [408, 12.0]
  45. rotation: 0
  46. state: true
  47. - name: samp_rate
  48. id: variable
  49. parameters:
  50. comment: ''
  51. value: '48000'
  52. states:
  53. bus_sink: false
  54. bus_source: false
  55. bus_structure: null
  56. coordinate: [184, 12]
  57. rotation: 0
  58. state: enabled
  59. - name: volume
  60. id: variable_qtgui_range
  61. parameters:
  62. comment: ''
  63. gui_hint: ''
  64. label: Audio gain
  65. min_len: '200'
  66. orient: Qt.Horizontal
  67. rangeType: float
  68. start: '0'
  69. step: '0.05'
  70. stop: '1.0'
  71. value: '0.3'
  72. widget: slider
  73. states:
  74. bus_sink: false
  75. bus_source: false
  76. bus_structure: null
  77. coordinate: [272, 12.0]
  78. rotation: 0
  79. state: enabled
  80. - name: analog_nbfm_tx_0
  81. id: analog_nbfm_tx
  82. parameters:
  83. affinity: ''
  84. alias: ''
  85. audio_rate: samp_rate
  86. comment: ''
  87. fh: '-1.0'
  88. max_dev: 5e3
  89. maxoutbuf: '0'
  90. minoutbuf: '0'
  91. quad_rate: quad_rate
  92. tau: 75e-6
  93. states:
  94. bus_sink: false
  95. bus_source: false
  96. bus_structure: null
  97. coordinate: [512, 196.0]
  98. rotation: 0
  99. state: true
  100. - name: analog_sig_source_x_0
  101. id: analog_sig_source_x
  102. parameters:
  103. affinity: ''
  104. alias: ''
  105. amp: '1'
  106. comment: ''
  107. freq: '600'
  108. maxoutbuf: '0'
  109. minoutbuf: '0'
  110. offset: '0'
  111. phase: '0'
  112. samp_rate: samp_rate
  113. type: float
  114. waveform: analog.GR_COS_WAVE
  115. states:
  116. bus_sink: false
  117. bus_source: false
  118. bus_structure: null
  119. coordinate: [128, 188.0]
  120. rotation: 0
  121. state: true
  122. - name: blocks_multiply_const_vxx_0_0
  123. id: blocks_multiply_const_vxx
  124. parameters:
  125. affinity: ''
  126. alias: ''
  127. comment: Audio gain
  128. const: volume
  129. maxoutbuf: '0'
  130. minoutbuf: '0'
  131. type: float
  132. vlen: '1'
  133. states:
  134. bus_sink: false
  135. bus_source: false
  136. bus_structure: null
  137. coordinate: [344, 228.0]
  138. rotation: 0
  139. state: enabled
  140. - name: iio_pluto_sink_0
  141. id: iio_pluto_sink
  142. parameters:
  143. affinity: ''
  144. alias: ''
  145. attenuation1: '10.0'
  146. auto_filter: 'True'
  147. bandwidth: quad_rate
  148. buffer_size: '16384'
  149. comment: ''
  150. cyclic: 'False'
  151. filter: ''
  152. frequency: '144150000'
  153. samplerate: quad_rate
  154. uri: ip:192.168.3.1
  155. states:
  156. bus_sink: false
  157. bus_source: false
  158. bus_structure: null
  159. coordinate: [776, 164.0]
  160. rotation: 0
  161. state: true
  162.  
  163. connections:
  164. - [analog_nbfm_tx_0, '0', iio_pluto_sink_0, '0']
  165. - [analog_sig_source_x_0, '0', blocks_multiply_const_vxx_0_0, '0']
  166. - [blocks_multiply_const_vxx_0_0, '0', analog_nbfm_tx_0, '0']
  167.  
  168. metadata:
  169. file_format: 1
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement