Advertisement
Guest User

Untitled

a guest
Jun 18th, 2017
55
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module DZ (input logic clk, sclr, output reg [2:0] q);
  2.  
  3.     always @ (posedge clk)
  4.  
  5.     begin
  6.         if (sclr)
  7.             q <= 0;
  8.         else
  9.             begin
  10.                 q[2] <= (~sclr)&(~q[2])&(~q[1])|(~sclr)&(~q[0])&(~q[2])|(q[1])&(q[0])&(q[2])&(~sclr);
  11.                 q[1] <= (~sclr)&(~q[1])&(q[0])|(~sclr)&(q[1])&(~q[0]);
  12.                 q[0] <= (~sclr)&(~q[0]);
  13.             end
  14.    
  15.     end
  16.  
  17. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement