Advertisement
dar-io-g

VGA_TEXT.sdc

Oct 19th, 2017
441
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. ## Generated SDC file "VGA_TEXT.sdc"
  2.  
  3. ## Copyright (C) 2017  Intel Corporation. All rights reserved.
  4. ## Your use of Intel Corporation's design tools, logic functions
  5. ## and other software and tools, and its AMPP partner logic
  6. ## functions, and any output files from any of the foregoing
  7. ## (including device programming or simulation files), and any
  8. ## associated documentation or information are expressly subject
  9. ## to the terms and conditions of the Intel Program License
  10. ## Subscription Agreement, the Intel Quartus Prime License Agreement,
  11. ## the Intel MegaCore Function License Agreement, or other
  12. ## applicable license agreement, including, without limitation,
  13. ## that your use is for the sole purpose of programming logic
  14. ## devices manufactured by Intel and sold by Intel or its
  15. ## authorized distributors.  Please refer to the applicable
  16. ## agreement for further details.
  17.  
  18.  
  19. ## VENDOR  "Altera"
  20. ## PROGRAM "Quartus Prime"
  21. ## VERSION "Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition"
  22.  
  23. ## DATE    "Thu Oct 19 10:31:17 2017"
  24.  
  25. ##
  26. ## DEVICE  "10M50DAF484C7G"
  27. ##
  28.  
  29.  
  30. #**************************************************************
  31. # Time Information
  32. #**************************************************************
  33.  
  34. set_time_format -unit ns -decimal_places 3
  35. set_operating_conditions MIN_fast_1200mv_0c
  36.  
  37.  
  38. #**************************************************************
  39. # Create Clock
  40. #**************************************************************
  41.  
  42. create_clock -name {ADC_CLK_10} -period 100.000 -waveform { 0.000 50.000 } [get_ports {ADC_CLK_10}]
  43. create_clock -name {MAX10_CLK1_50} -period 20.000 -waveform { 0.000 10.000 } [get_ports {MAX10_CLK1_50}]
  44. create_clock -name {MAX10_CLK2_50} -period 20.000 -waveform { 0.000 10.000 } [get_ports {MAX10_CLK2_50}]
  45.  
  46.  
  47. #**************************************************************
  48. # Create Generated Clock
  49. #**************************************************************
  50.  
  51. create_generated_clock -name {vga_clk|altpll_component|auto_generated|pll1|clk[0]} -source [get_pins {vga_clk|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50/1 -multiply_by 27 -divide_by 10 -master_clock {MAX10_CLK1_50} [get_pins {vga_clk|altpll_component|auto_generated|pll1|clk[0]}]
  52. create_generated_clock -name {vga_clk|altpll_component|auto_generated|pll1|clk[1]} -source [get_pins {vga_clk|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50/1 -multiply_by 27 -divide_by 10 -phase 90/1 -master_clock {MAX10_CLK1_50} [get_pins {vga_clk|altpll_component|auto_generated|pll1|clk[1]}]
  53. create_generated_clock -name {vga_clk|altpll_component|auto_generated|pll1|clk[2]} -source [get_pins {vga_clk|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50/1 -multiply_by 27 -divide_by 10 -phase 180/1 -master_clock {MAX10_CLK1_50} [get_pins {vga_clk|altpll_component|auto_generated|pll1|clk[2]}]
  54. create_generated_clock -name {vga_clk|altpll_component|auto_generated|pll1|clk[3]} -source [get_pins {vga_clk|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50/1 -multiply_by 27 -divide_by 10 -phase 270/1 -master_clock {MAX10_CLK1_50} [get_pins {vga_clk|altpll_component|auto_generated|pll1|clk[3]}]
  55.  
  56. derive_pll_clocks
  57. derive_clock_uncertainty
  58.  
  59. #**************************************************************
  60. # Set Clock Latency
  61. #**************************************************************
  62.  
  63.  
  64.  
  65. #**************************************************************
  66. # Set Clock Uncertainty
  67. #**************************************************************
  68.  
  69.  
  70.  
  71. #**************************************************************
  72. # Set Input Delay
  73. #**************************************************************
  74.  
  75.  
  76.  
  77. #**************************************************************
  78. # Set Output Delay
  79. #**************************************************************
  80.  
  81.  
  82.  
  83. #**************************************************************
  84. # Set Clock Groups
  85. #**************************************************************
  86.  
  87.  
  88.  
  89. #**************************************************************
  90. # Set False Path
  91. #**************************************************************
  92.  
  93.  
  94.  
  95. #**************************************************************
  96. # Set Multicycle Path
  97. #**************************************************************
  98.  
  99.  
  100.  
  101.  
  102. #**************************************************************
  103. # Set Maximum Delay
  104. #**************************************************************
  105.  
  106.  
  107.  
  108. #**************************************************************
  109. # Set Minimum Delay
  110. #**************************************************************
  111.  
  112.  
  113.  
  114. #**************************************************************
  115. # Set Input Transition
  116. #**************************************************************
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement