Advertisement
Guest User

Untitled

a guest
Mar 30th, 2018
90
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module counter_test;
  3.     reg clk, ena, clrn;
  4.     wire [2:0] result;
  5.    
  6.     initial begin
  7.         clk=0;
  8.         forever #10 clk = ~clk;
  9.     end
  10.    
  11.     initial begin
  12.         ena=1;
  13.         #300 ena = ~ena;
  14.         #160 ena = ~ena;
  15.         #20 ena = ~ena;
  16.         #300 ena = ~ena;
  17.     end
  18.    
  19.     initial begin
  20.     clrn = 0;
  21.     #15 clrn = 1;
  22.     #1000 $stop;
  23.     end
  24.    
  25.     lab3 test_dev(clk, clrn, ena, result);
  26. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement