Advertisement
Henry-Galleguillos

elmux - multiplexor de cada ALU

Dec 14th, 2020
96
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.28 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity elmux is
  5. Port (D0: in std_logic;
  6. D1: in std_logic;
  7. Bneg: in std_logic;
  8. nout: out std_logic);
  9. end elmux;
  10.  
  11. architecture Behavioral of elmux is
  12. begin
  13. nout <= D0 when(Bneg= '1') else D1;
  14. end Behavioral;
  15.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement