thekaradi

APB_UVM_SLV_IF

Feb 4th, 2025 (edited)
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. interface apb_slv_intf #(
  2.     parameter DATA_WIDTH    = 32,
  3.     parameter ADDR_WIDTH    = 32
  4. )(  input PCLK);
  5.  
  6.     logic PSEL;
  7.     logic PWRITE;
  8.     logic [(DATA_WIDTH-1):0] PWDATA;
  9.     logic [(ADDR_WIDTH-1):0] PADDR;
  10.     logic PREADY;
  11.     logic PSLVERR;
  12.     logic [(DATA_WIDTH-1):0] PRDATA;
  13.  
  14.     clocking slv_drv_cb @ (posedge PCLK);
  15.         default input #1 output #1;
  16.  
  17.         output PREADY;
  18.         output PSLVERR;
  19.         output PRDATA;
  20.     endclocking: slv_drv_cb
  21.  
  22.     clocking slv_mon_cb @ (posedge PCLK);
  23.         default input #1 output #1;
  24.  
  25.         input PWRITE;
  26.         input PWDATA;
  27.         input PADDR;
  28.         input PSEL;
  29.         input PREADY;
  30.         input PSLVERR;
  31.         input PRDATA;
  32.     endclocking: slv_mon_cb
  33.  
  34.     modport DST_DRV_MP (clocking slv_drv_cb);
  35.     modport DST_MON_MP (clocking slv_mon_cb);
  36.  
  37. endinterface: apb_slv_intf
  38.  
Advertisement
Add Comment
Please, Sign In to add comment