Advertisement
Guest User

Untitled

a guest
Sep 24th, 2018
59
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.40 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. entity lab33 is
  4. Port (
  5. din : in STD_LOGIC_VECTOR (3 downto 0);
  6. addr1 : in STD_LOGIC_VECTOR (1 downto 0);
  7. addr2 : in STD_LOGIC_VECTOR (1 downto 0);
  8. dout : out STD_LOGIC_VECTOR (3 downto 0)
  9. );
  10. end lab33;
  11. architecture struct of lab33 is
  12. signal mux2demux : std_logic;
  13.  
  14. component lab31 is
  15. Port (
  16. din : in STD_LOGIC_VECTOR (3 downto 0);
  17. addr : in STD_LOGIC_VECTOR (1 downto 0);
  18. dout : out STD_LOGIC
  19. );
  20. end component lab31;
  21. component lab32 is
  22. Port (
  23. din : in STD_LOGIC;
  24. addr : in STD_LOGIC_VECTOR (1 downto 0);
  25. dout : out STD_LOGIC_VECTOR (3 downto 0)
  26. );
  27. end component lab32;
  28. begin
  29. demuxer : component lab31
  30. port map (dout => mux2demux, din => din, addr => addr1);
  31. muxer : component lab32
  32. port map (din => mux2demux, addr => addr2);
  33.  
  34.  
  35. end struct;
  36.  
  37. ----------------------------------------------------------------------------
  38. ## Switches
  39. set_property PACKAGE_PIN V17 [get_ports {addr1[0]}]
  40. set_property IOSTANDARD LVCMOS33 [get_ports {addr1[0]}]
  41. set_property PACKAGE_PIN V16 [get_ports {addr1[1]}]
  42. set_property IOSTANDARD LVCMOS33 [get_ports {addr1[1]}]
  43. set_property PACKAGE_PIN W16 [get_ports {addr2[0]}]
  44. set_property IOSTANDARD LVCMOS33 [get_ports {addr2[0]}]
  45. set_property PACKAGE_PIN W17 [get_ports {addr2[1]}]
  46. set_property IOSTANDARD LVCMOS33 [get_ports {addr2[1]}]
  47.  
  48. ## LEDs
  49. set_property PACKAGE_PIN U16 [get_ports {dout[0]}]
  50. set_property IOSTANDARD LVCMOS33 [get_ports {dout[0]}]
  51. set_property PACKAGE_PIN E19 [get_ports {dout[1]}]
  52. set_property IOSTANDARD LVCMOS33 [get_ports {dout[1]}]
  53. set_property PACKAGE_PIN U19 [get_ports {dout[2]}]
  54. set_property IOSTANDARD LVCMOS33 [get_ports {dout[2]}]
  55. set_property PACKAGE_PIN V19 [get_ports {dout[3]}]
  56. set_property IOSTANDARD LVCMOS33 [get_ports {dout[3]}]
  57.  
  58. ##Buttons
  59. set_property PACKAGE_PIN U18 [get_ports din[0]]
  60. set_property IOSTANDARD LVCMOS33 [get_ports din[0]]
  61. set_property PACKAGE_PIN T18 [get_ports din[1]]
  62. set_property IOSTANDARD LVCMOS33 [get_ports din[1]]
  63. set_property PACKAGE_PIN W19 [get_ports din[2]]
  64. set_property IOSTANDARD LVCMOS33 [get_ports din[2]]
  65. set_property PACKAGE_PIN T17 [get_ports din[3]]
  66. set_property IOSTANDARD LVCMOS33 [get_ports din[3]]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement