Advertisement
toweber

mult_tb_clk.v

Aug 29th, 2022
1,021
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `include "mult_clk.v"
  2. `timescale 1us/1us
  3. module mult_tb;
  4.    // on testbenches, inputs are regs
  5.    reg[3:0] in1;
  6.    reg[3:0] in2;  
  7.    reg clk;
  8.  
  9.    // on testbenches, outputs are wires
  10.    wire[7:0] out;
  11.  
  12.    mult MULT1(in1,in2,clk, out);
  13.    initial begin
  14.       clk = 0;     in1 = 0;   in2 = 0;
  15.       #(2*2**8) $finish;
  16.  
  17.    end // initial begin
  18.  
  19.    always  #1 clk = ~clk;
  20.    always  #2 in1 = in1+1;
  21.    always  #(2*2**4) in2 = in2+1;
  22.  
  23.  
  24.    initial begin
  25.       $monitor ("%t | in1 = %d | in2 = %d | clk = %d | out = %d", $time, in1, in2, clk, out);
  26.       $dumpfile("dump.vcd");
  27.       $dumpvars();
  28.    end
  29. endmodule // sr_tb
  30.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement