Advertisement
Guest User

Untitled

a guest
Jan 17th, 2019
90
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.48 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6. --entity AND3 is
  7. #port (A,B,C: in STD_LOGIC;
  8. # F: out STD_LOGIC);
  9. #end AND3;
  10.  
  11.  
  12. #architecture BEHAVIORAL OF AND3 is
  13. #begin
  14. #F <=( A AND B AND C);
  15. #end BEHAVIORAL;
  16.  
  17.  
  18.  
  19. #2 input not
  20.  
  21. entity NOR2 is
  22. port (A, B: in STD_LOGIC;
  23. F: out STD_LOGIC);
  24. end NOR2;
  25.  
  26. architecture behavior OF NOR2 is
  27. begin
  28. #F <= not(A OR B);
  29. F <= A NOR B;
  30. end behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement