Advertisement
MBJ

Mux A

MBJ
Apr 22nd, 2019
1,320
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module MUX_A(
  4.             input MA,
  5.             input [31:0] PC_1, A_DATA,
  6.             output [31:0] Bus_A
  7.             );
  8.  
  9. assign Bus_A = (!MA)? A_DATA : PC_1;
  10.  
  11. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement