Advertisement
Guest User

Untitled

a guest
May 22nd, 2018
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module my_ram32x4(
  2.     input [4:0] Address,
  3.     input clk,
  4.     input [3:0] DataIn,
  5.     input Write,
  6.     output [3:0] DataOut);
  7.    
  8.     reg [3:0] memory_array[31:0];
  9.    
  10.     reg [4:0] reg_Address;
  11.     reg [3:0] reg_DataIn;
  12.     reg reg_Write;
  13.    
  14.     always @(posedge clk)
  15.     begin
  16.         reg_Address <= Address;
  17.         reg_DataIn <= DataIn;
  18.         reg_Write <= Write;
  19.     end
  20.    
  21.     always @(*)
  22.         if (reg_Write) memory_array[reg_Address] = reg_DataIn;
  23.    
  24.     assign DataOut = memory_array[reg_Address];
  25. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement