Advertisement
Guest User

Untitled

a guest
Oct 25th, 2018
121
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module multT (
  2.     input signed [31:0]M,
  3.     input signed [31:0]Q,
  4.     input MultiCon,
  5.     input clk,
  6.     input reset,
  7.     output reg signed [31:0]hi,
  8.     output reg signed [31:0]lo
  9. );
  10.  
  11. reg signed [64:0]A;
  12. reg signed [64:0]S;
  13. reg signed [64:0]P;
  14. reg signed [31:0]aux;
  15. integer i;
  16.  
  17. initial begin
  18.     i = 32;
  19. end
  20.  
  21. always @ (posedge clk) begin
  22.     if (reset == 1) begin
  23.         A = 65'd0;
  24.         S = 65'd0;
  25.         P = 65'd0;
  26.         aux = 32'd0;
  27.         i = 32;
  28.     end
  29.     if (MultiCon == 1) begin
  30.         if (i > 31) begin
  31.             A = {Q, 33'b0};
  32.             aux = ~Q + 1;
  33.             S = {aux, 33'b0};
  34.             P = {32'b0, M, 1'b0};
  35.             i = 0;
  36.         end
  37.     end
  38.     if (i < 32) begin
  39.         case (P[1:0])
  40.         2'b01: begin
  41.             P = P + A;
  42.         end
  43.         2'b10: begin
  44.             P = P + S;
  45.         end
  46.         endcase
  47.         P = P >>> 1;
  48.         i = i + 1;
  49.         //hi = i;
  50.         if (i == 32) begin
  51.             hi = P[64:33];
  52.             lo = P[32:1];
  53.         end
  54.     end
  55. end
  56.  
  57. endmodule //
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement