Advertisement
Guest User

Untitled

a guest
May 29th, 2018
103
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module dataLoader(colReady, data, index, xy);
  2.     input logic colReady;
  3.     input logic [31:0] data;
  4.     input logic [POW:0] index; // x0 x1 x2 x3 ... y
  5.     parameter POW = 2;
  6.     parameter DIM = 2**POW;
  7.     output logic [DIM:0][31:0] xy;
  8.  
  9.     always_ff@(posedge colReady) begin
  10.         xy[index] <= data;
  11.     end
  12. endmodule
  13.  
  14. module dataLoader_testbench();
  15.    
  16. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement