Advertisement
Guest User

Untitled

a guest
Sep 20th, 2019
141
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 3.20 KB | None | 0 0
  1. `timescale 1ns / 1ps
  2. //////////////////////////////////////////////////////////////////////////////////
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 09/19/2019 02:26:10 PM
  7. // Design Name:
  8. // Module Name: tb_Struct_Decoder
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //////////////////////////////////////////////////////////////////////////////////
  21.  
  22.  
  23. module tb_Struct_Decoder;
  24.  
  25. reg e;
  26. reg a;
  27. reg b;
  28. reg c;
  29.  
  30. wire d0;
  31. wire d1;
  32. wire d2;
  33. wire d3;
  34. wire d4;
  35. wire d5;
  36. wire d6;
  37. wire d7;
  38.  
  39. //instantiate uut (unit under test)
  40. Struct_Decoder uut(
  41. .e(e),
  42. .a(a),
  43. .b(b),
  44. .c(c),
  45. .d0(d0),
  46. .d1(d1),
  47. .d2(d2),
  48. .d3(d3),
  49. .d4(d4),
  50. .d5(d5),
  51. .d6(d6),
  52. .d7(d7),
  53. );
  54.  
  55. initial begin
  56.  
  57. e = 0;
  58. a = 0;
  59. b = 0;
  60. c = 0;
  61.  
  62. #50
  63.  
  64. e = 0;
  65. a = 0;
  66. b = 0;
  67. c = 0;
  68. $display("TC01");
  69. if ( d0 != 'b0 ) $display ("Result is wrong");
  70.  
  71. e = 0;
  72. a = 0;
  73. b = 0;
  74. c = 1;
  75. #50;
  76. $display("TC02");
  77. if ( d1 != 'b0 ) $display ("Result is wrong");
  78.  
  79. e = 0;
  80. a = 0;
  81. b = 1;
  82. c = 0;
  83. #50;
  84. $display("TC03");
  85. if ( d2 != 'b0 ) $display ("Result is wrong");
  86.  
  87. e = 0;
  88. a = 0;
  89. b = 1;
  90. c = 1;
  91. #50;
  92. $display("TC04");
  93. if ( d3 != 'b0 ) $display ("Result is wrong");
  94.  
  95. e = 0;
  96. a = 1;
  97. b = 0;
  98. c = 0;
  99. #50;
  100. $display("TC05");
  101. if ( d4 != 'b0 ) $display ("Result is wrong");
  102.  
  103. e = 0;
  104. a = 1;
  105. b = 0;
  106. c = 1;
  107. #50;
  108. $display("TC06");
  109. if ( d5 != 'b0 ) $display ("Result is wrong");
  110.  
  111. e = 0;
  112. a = 1;
  113. b = 1;
  114. c = 0;
  115. #50;
  116. $display("TC07");
  117. if ( d6 != 'b0 ) $display ("Result is wrong");
  118.  
  119. e = 0;
  120. a = 1;
  121. b = 1;
  122. c = 1;
  123. #50;
  124. $display("TC08");
  125. if ( d7 != 'b0 ) $display ("Result is wrong");
  126.  
  127. e = 1;
  128. a = 0;
  129. b = 0;
  130. c = 0;
  131. #50;
  132. $display("TC11");
  133. if ( d0 != 'b1 ) $display ("Result is wrong");
  134.  
  135. e = 1;
  136. a = 0;
  137. b = 0;
  138. c = 1;
  139. #50;
  140. $display("TC12");
  141. if ( d1 != 'b1 ) $display ("Result is wrong");
  142.  
  143. e = 1;
  144. a = 0;
  145. b = 1;
  146. c = 0;
  147. #50;
  148. $display("TC13");
  149. if ( d2 != 'b1 ) $display ("Result is wrong");
  150.  
  151. e = 1;
  152. a = 0;
  153. b = 1;
  154. c = 1;
  155. #50;
  156. $display("TC14");
  157. if ( d3 != 'b1 ) $display ("Result is wrong");
  158.  
  159. e = 1;
  160. a = 1;
  161. b = 0;
  162. c = 0;
  163. #50;
  164. $display("TC15");
  165. if ( d4 != 'b1 ) $display ("Result is wrong");
  166.  
  167. e = 1;
  168. a = 1;
  169. b = 0;
  170. c = 1;
  171. #50;
  172. $display("TC16");
  173. if ( d5 != 'b1 ) $display ("Result is wrong");
  174.  
  175. e = 1;
  176. a = 1;
  177. b = 1;
  178. c = 0;
  179. #50;
  180. $display("TC17");
  181. if ( d6 != 'b1 ) $display ("Result is wrong");
  182.  
  183. e = 1;
  184. a = 1;
  185. b = 1;
  186. c = 1;
  187. #50;
  188. $display("TC18");
  189. if ( d7 != 'b1 ) $display ("Result is wrong");
  190.  
  191. end
  192.  
  193. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement