Advertisement
Guest User

Untitled

a guest
Apr 21st, 2017
126
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.09 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 12:07:12 04/21/2017
  6. -- Design Name:
  7. -- Module Name: half_adder - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. --use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity half_adder is
  33. Port ( A : in STD_LOGIC;
  34. B : in STD_LOGIC;
  35. S : out STD_LOGIC;
  36. C : out STD_LOGIC);
  37. end half_adder;
  38.  
  39. architecture Behavioral of half_adder is
  40.  
  41. begin
  42.  
  43. S <= (A XOR B);
  44. C <= (A AND B);
  45.  
  46. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement