Advertisement
Guest User

Untitled

a guest
Jul 9th, 2017
70
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module led(
  2.     input clk,
  3.     input reset,
  4.     output led0,
  5.     input switch0
  6.     );
  7.  
  8. parameter count_period = 100000000;
  9.    
  10. reg switch0_l = 0;
  11. reg led0_state = 0;
  12. integer counter = 0;
  13.  
  14. always @(posedge clk or posedge reset)
  15. begin
  16.  
  17.     if (reset)
  18.     begin
  19.         switch0_l = 0;
  20.         led0_state = 0;
  21.         counter = 0;
  22.     end else begin
  23.  
  24.         #1 switch0_l = switch0;
  25.  
  26.         if (switch0_l)
  27.         begin
  28.             if (counter == 0)
  29.             begin
  30.                 led0_state = !led0_state;
  31.                 counter = count_period;
  32.             end
  33.            
  34.             counter = counter - 1;
  35.         end
  36.     end
  37. end
  38.  
  39. assign led0 = led0_state;
  40.  
  41. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement