Advertisement
Guest User

dupa

a guest
Dec 11th, 2019
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.29 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 09:08:56 12/11/2019
  6. -- Design Name:
  7. -- Module Name: freqdividerv2 - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity freqdividerv2 is
  33. Port ( Q : out STD_LOGIC;
  34. clk : in STD_LOGIC;
  35. rst : in STD_LOGIC);
  36. end freqdividerv2;
  37.  
  38. architecture Behavioral of freqdividerv2 is
  39.  
  40. signal mode STD_LOGIC;
  41. signal temp STD_LOGIC;
  42. signal counter unsigned;
  43.  
  44. component freqdivider
  45. port (modi, rst, clk: in STD_LOGIC;
  46. Q: out STD_LOGIC;)
  47. end component
  48.  
  49.  
  50.  
  51. begin
  52.  
  53. FD: freqdivider port map(mode=>modi, clk=>clk, rst=>rst, temp=>Q);
  54. if(rst
  55.  
  56.  
  57.  
  58. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement