Advertisement
Guest User

Untitled

a guest
Mar 21st, 2018
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.41 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;
  4.  
  5.  
  6.  
  7.  
  8. entity lab4 is
  9. port(
  10. c0, c1, c2, c3: in std_logic;
  11. y : out std_logic_vector(0 to 6)
  12. );
  13. end lab4;
  14.  
  15. architecture display of lab4 is
  16.  
  17. begin
  18. y <= "0000001" when c0 = '0' and c1 = '0' and c2 = '0' and c3 = '0' else
  19. "1001111" when c0 = '0' and c1 = '0' and c2 = '0' and c3 = '1' else
  20. "0010010" when c0 = '0' and c1 = '0' and c2 = '1' and c3 = '0' else
  21. "0000110"when c0 = '0' and c1 = '0' and c2 = '1' and c3 = '1' else
  22. "1001100" when c0 = '0' and c1 = '1' and c2 = '0' and c3 = '0' else
  23. "0100100" when c0 = '0' and c1 = '1' and c2 = '0' and c3 = '1' else
  24. "0100000" when c0 = '0' and c1 = '1' and c2 = '1' and c3 = '0' else
  25. "0001111" when c0 = '0' and c1 = '1' and c2 = '1' and c3 = '1' else
  26. "0000000" when c0 = '1' and c1 = '0' and c2 = '0' and c3 = '0' else
  27. "0000100" when c0 = '1' and c1 = '0' and c2 = '0' and c3 = '1' else
  28. "0001000" when c0 = '1' and c1 = '0' and c2 = '1' and c3 = '0' else
  29. "1100000" when c0 = '1' and c1 = '0' and c2 = '1' and c3 = '1' else
  30. "0110001" when c0 = '1' and c1 = '1' and c2 = '0' and c3 = '0' else
  31. "1000010" when c0 = '1' and c1 = '1' and c2 = '0' and c3 = '1' else
  32. "0110000" when c0 = '1' and c1 = '1' and c2 = '1' and c3 = '0' else
  33. "0111000" when c0 = '1' and c1 = '1' and c2 = '1' and c3 = '1';
  34. end display;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement