Advertisement
Guest User

Untitled

a guest
Feb 1st, 2019
95
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.         ; 🐟  (try me)
  2.         ; 8080 assembler code
  3.         .hexfile test.hex
  4.         .binfile test.com
  5.         ; try "hex" for downloading in hex format
  6.         .download bin  
  7.         ; target for generated loadable wav-file:
  8.         ;   rk-bin          Радио-86РК
  9.         ;   microsha-bin    Микроша
  10.         ;   v06c-rom        Вектор-06ц ROM
  11.         .tape v06c-rom
  12.         .objcopy gobjcopy
  13.         ;.nodump
  14.         .org 0h
  15.  
  16. begin:
  17.     nop
  18.     nop
  19.     nop
  20.     nop
  21.  
  22.         mvi a, 0
  23.     mvi b, 0
  24.  
  25. loop:
  26.     mov c, a
  27.  
  28.     add b
  29.  
  30.     mov b, c
  31.  
  32.     out 0xff
  33.    
  34.     jmp loop
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement