Advertisement
Guest User

Untitled

a guest
Apr 4th, 2020
224
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module KA (
  2.     input logic clk, clrn, up,
  3.     output logic [2:0] q
  4. );
  5. always_ff @ (posedge clk or negedge clrn) begin
  6.     if (!clrn) q <= 0;
  7.     else
  8.     begin
  9. q[2] <= ((~q[2])&(~q[1])&(~q[0])&(~updown)) | ((~q[2])&q[1]&updown) | (q[2]&(~q[1])&updown) | (q[2]&q[1]&(~updown)) | (q[2]&(~q[1])&q[0]);
  10. q[1] <= ((~q[1])&(~q[0])) | (q[1]&q[0]&(~updown)) |((~q[1])&q[0]&updown);
  11. q[0] <= (q[0]&updown) | (q[2]&(~q[0])&(~updown)) | ((~q[2])&(~q[0])&(~updown));
  12. end end
  13. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement