Advertisement
Guest User

Untitled

a guest
Jun 14th, 2019
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. library IEEE;  
  2. use IEEE.STD_LOGIC_1164.all;  
  3.  
  4. entity and2 is
  5.  port (
  6.  A : in std_logic;
  7.  B : in std_logic;
  8.  C : out std_logic
  9.   );
  10. end and2;  
  11.  
  12. architecture andd of and2 is
  13. begin        
  14.  
  15.   C <= A and B;  
  16.    
  17. end andd;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement