Advertisement
crsandu

dediu_constrangeri

Feb 28th, 2019
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.27 KB | None | 0 0
  1. # LEDs
  2.  
  3. set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
  4.  
  5. set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
  6.  
  7.  
  8. set_property PACKAGE_PIN U16 [get_ports {R_OUT[0]}]
  9. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[0]}]
  10. set_property PACKAGE_PIN E19 [get_ports {R_OUT[1]}]
  11. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[1]}]
  12. set_property PACKAGE_PIN U19 [get_ports {R_OUT[2]}]
  13. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[2]}]
  14. set_property PACKAGE_PIN V19 [get_ports {R_OUT[3]}]
  15. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[3]}]
  16. set_property PACKAGE_PIN W18 [get_ports {R_OUT[4]}]
  17. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[4]}]
  18. set_property PACKAGE_PIN U15 [get_ports {R_OUT[5]}]
  19. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[5]}]
  20. set_property PACKAGE_PIN U14 [get_ports {R_OUT[6]}]
  21. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[6]}]
  22. set_property PACKAGE_PIN V14 [get_ports {R_OUT[7]}]
  23. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[7]}]
  24. set_property PACKAGE_PIN V13 [get_ports {R_OUT[8]}]
  25. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[8]}]
  26. set_property PACKAGE_PIN V3 [get_ports {R_OUT[9]}]
  27. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[9]}]
  28. set_property PACKAGE_PIN W3 [get_ports {R_OUT[10]}]
  29. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[10]}]
  30. set_property PACKAGE_PIN U3 [get_ports {R_OUT[11]}]
  31. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[11]}]
  32. set_property PACKAGE_PIN P3 [get_ports {R_OUT[12]}]
  33. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[12]}]
  34. set_property PACKAGE_PIN N3 [get_ports {R_OUT[13]}]
  35. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[13]}]
  36. set_property PACKAGE_PIN P1 [get_ports {R_OUT[14]}]
  37. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[14]}]
  38. set_property PACKAGE_PIN L1 [get_ports {R_OUT[15]}]
  39. set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[15]}]
  40.  
  41. # Clock signal
  42. set_property PACKAGE_PIN W5 [get_ports {CLK}]
  43. set_property IOSTANDARD LVCMOS33 [get_ports {CLK}]
  44. #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
  45.  
  46. # CE
  47. set_property PACKAGE_PIN U18 [get_ports {CE}]
  48. set_property IOSTANDARD LVCMOS33 [get_ports {CE}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement