Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- # LEDs
- set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
- set_property SEVERITY {Warning} [get_drc_checks UCIO-1]
- set_property PACKAGE_PIN U16 [get_ports {R_OUT[0]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[0]}]
- set_property PACKAGE_PIN E19 [get_ports {R_OUT[1]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[1]}]
- set_property PACKAGE_PIN U19 [get_ports {R_OUT[2]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[2]}]
- set_property PACKAGE_PIN V19 [get_ports {R_OUT[3]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[3]}]
- set_property PACKAGE_PIN W18 [get_ports {R_OUT[4]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[4]}]
- set_property PACKAGE_PIN U15 [get_ports {R_OUT[5]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[5]}]
- set_property PACKAGE_PIN U14 [get_ports {R_OUT[6]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[6]}]
- set_property PACKAGE_PIN V14 [get_ports {R_OUT[7]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[7]}]
- set_property PACKAGE_PIN V13 [get_ports {R_OUT[8]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[8]}]
- set_property PACKAGE_PIN V3 [get_ports {R_OUT[9]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[9]}]
- set_property PACKAGE_PIN W3 [get_ports {R_OUT[10]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[10]}]
- set_property PACKAGE_PIN U3 [get_ports {R_OUT[11]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[11]}]
- set_property PACKAGE_PIN P3 [get_ports {R_OUT[12]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[12]}]
- set_property PACKAGE_PIN N3 [get_ports {R_OUT[13]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[13]}]
- set_property PACKAGE_PIN P1 [get_ports {R_OUT[14]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[14]}]
- set_property PACKAGE_PIN L1 [get_ports {R_OUT[15]}]
- set_property IOSTANDARD LVCMOS33 [get_ports {R_OUT[15]}]
- # Clock signal
- set_property PACKAGE_PIN W5 [get_ports {CLK}]
- set_property IOSTANDARD LVCMOS33 [get_ports {CLK}]
- #create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk]
- # CE
- set_property PACKAGE_PIN U18 [get_ports {CE}]
- set_property IOSTANDARD LVCMOS33 [get_ports {CE}]
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement