Guest User

Untitled

a guest
Jan 21st, 2018
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 28.53 KB | None | 0 0
  1. # DE0 board pin & Location Assignments
  2. # ==========================
  3. set_location_assignment PIN_B1 -to LEDG[9]
  4. set_location_assignment PIN_B2 -to LEDG[8]
  5. set_location_assignment PIN_C2 -to LEDG[7]
  6. set_location_assignment PIN_C1 -to LEDG[6]
  7. set_location_assignment PIN_E1 -to LEDG[5]
  8. set_location_assignment PIN_F2 -to LEDG[4]
  9. set_location_assignment PIN_H1 -to LEDG[3]
  10. set_location_assignment PIN_J3 -to LEDG[2]
  11. set_location_assignment PIN_J2 -to LEDG[1]
  12. set_location_assignment PIN_J1 -to LEDG[0]
  13. set_location_assignment PIN_D2 -to SW[9]
  14. set_location_assignment PIN_E4 -to SW[8]
  15. set_location_assignment PIN_E3 -to SW[7]
  16. set_location_assignment PIN_H7 -to SW[6]
  17. set_location_assignment PIN_J7 -to SW[5]
  18. set_location_assignment PIN_G5 -to SW[4]
  19. set_location_assignment PIN_G4 -to SW[3]
  20. set_location_assignment PIN_H6 -to SW[2]
  21. set_location_assignment PIN_H5 -to SW[1]
  22. set_location_assignment PIN_J6 -to SW[0]
  23. set_location_assignment PIN_F1 -to KEY[2]
  24. set_location_assignment PIN_G3 -to KEY[1]
  25. set_location_assignment PIN_H2 -to KEY[0]
  26. set_location_assignment PIN_R2 -to FL_ADDR[21]
  27. set_location_assignment PIN_P3 -to FL_ADDR[20]
  28. set_location_assignment PIN_P1 -to FL_ADDR[19]
  29. set_location_assignment PIN_M6 -to FL_ADDR[18]
  30. set_location_assignment PIN_M5 -to FL_ADDR[17]
  31. set_location_assignment PIN_AA2 -to FL_ADDR[16]
  32. set_location_assignment PIN_L6 -to FL_ADDR[15]
  33. set_location_assignment PIN_L7 -to FL_ADDR[14]
  34. set_location_assignment PIN_M1 -to FL_ADDR[13]
  35. set_location_assignment PIN_M2 -to FL_ADDR[12]
  36. set_location_assignment PIN_M3 -to FL_ADDR[11]
  37. set_location_assignment PIN_N1 -to FL_ADDR[10]
  38. set_location_assignment PIN_N2 -to FL_ADDR[9]
  39. set_location_assignment PIN_P2 -to FL_ADDR[8]
  40. set_location_assignment PIN_M4 -to FL_ADDR[7]
  41. set_location_assignment PIN_M8 -to FL_ADDR[6]
  42. set_location_assignment PIN_N6 -to FL_ADDR[5]
  43. set_location_assignment PIN_N5 -to FL_ADDR[4]
  44. set_location_assignment PIN_N7 -to FL_ADDR[3]
  45. set_location_assignment PIN_P6 -to FL_ADDR[2]
  46. set_location_assignment PIN_P5 -to FL_ADDR[1]
  47. set_location_assignment PIN_P7 -to FL_ADDR[0]
  48. set_location_assignment PIN_AA1 -to FL_BYTE_N
  49. set_location_assignment PIN_N8 -to FL_CE_N
  50. set_location_assignment PIN_R7 -to FL_DQ[0]
  51. set_location_assignment PIN_P8 -to FL_DQ[1]
  52. set_location_assignment PIN_R8 -to FL_DQ[2]
  53. set_location_assignment PIN_U1 -to FL_DQ[3]
  54. set_location_assignment PIN_V2 -to FL_DQ[4]
  55. set_location_assignment PIN_V3 -to FL_DQ[5]
  56. set_location_assignment PIN_W1 -to FL_DQ[6]
  57. set_location_assignment PIN_Y1 -to FL_DQ[7]
  58. set_location_assignment PIN_T5 -to FL_DQ[8]
  59. set_location_assignment PIN_T7 -to FL_DQ[9]
  60. set_location_assignment PIN_T4 -to FL_DQ[10]
  61. set_location_assignment PIN_U2 -to FL_DQ[11]
  62. set_location_assignment PIN_V1 -to FL_DQ[12]
  63. set_location_assignment PIN_V4 -to FL_DQ[13]
  64. set_location_assignment PIN_W2 -to FL_DQ[14]
  65. set_location_assignment PIN_R6 -to FL_OE_N
  66. set_location_assignment PIN_R1 -to FL_RST_N
  67. set_location_assignment PIN_M7 -to FL_RY
  68. set_location_assignment PIN_P4 -to FL_WE_N
  69. set_location_assignment PIN_T3 -to FL_WP_N
  70. set_location_assignment PIN_Y2 -to FL_DQ15_AM1
  71. set_location_assignment PIN_U7 -to GPIO_0[31]
  72. set_location_assignment PIN_V5 -to GPIO_0[30]
  73. set_location_assignment PIN_W6 -to GPIO_0[29]
  74. set_location_assignment PIN_W7 -to GPIO_0[28]
  75. set_location_assignment PIN_V8 -to GPIO_0[27]
  76. set_location_assignment PIN_T8 -to GPIO_0[26]
  77. set_location_assignment PIN_W10 -to GPIO_0[25]
  78. set_location_assignment PIN_Y10 -to GPIO_0[24]
  79. set_location_assignment PIN_V11 -to GPIO_0[23]
  80. set_location_assignment PIN_R10 -to GPIO_0[22]
  81. set_location_assignment PIN_V12 -to GPIO_0[21]
  82. set_location_assignment PIN_U13 -to GPIO_0[20]
  83. set_location_assignment PIN_W13 -to GPIO_0[19]
  84. set_location_assignment PIN_Y13 -to GPIO_0[18]
  85. set_location_assignment PIN_U14 -to GPIO_0[17]
  86. set_location_assignment PIN_V14 -to GPIO_0[16]
  87. set_location_assignment PIN_AA4 -to GPIO_0[15]
  88. set_location_assignment PIN_AB4 -to GPIO_0[14]
  89. set_location_assignment PIN_AA5 -to GPIO_0[13]
  90. set_location_assignment PIN_AB5 -to GPIO_0[12]
  91. set_location_assignment PIN_AA8 -to GPIO_0[11]
  92. set_location_assignment PIN_AB8 -to GPIO_0[10]
  93. set_location_assignment PIN_AA10 -to GPIO_0[9]
  94. set_location_assignment PIN_AB10 -to GPIO_0[8]
  95. set_location_assignment PIN_AA13 -to GPIO_0[7]
  96. set_location_assignment PIN_AB13 -to GPIO_0[6]
  97. set_location_assignment PIN_AB14 -to GPIO_0[5]
  98. set_location_assignment PIN_AA14 -to GPIO_0[4]
  99. set_location_assignment PIN_AB15 -to GPIO_0[3]
  100. set_location_assignment PIN_AA15 -to GPIO_0[2]
  101. set_location_assignment PIN_AA16 -to GPIO_0[1]
  102. set_location_assignment PIN_AB16 -to GPIO_0[0]
  103. set_location_assignment PIN_AB12 -to GPIO_CLKIN_N0
  104. set_location_assignment PIN_AA12 -to GPIO_CLKIN_P0
  105. set_location_assignment PIN_AB3 -to GPIO_CLKOUT_N0
  106. set_location_assignment PIN_AA3 -to GPIO_CLKOUT_P0
  107. set_location_assignment PIN_AA11 -to GPIO_CLKIN_P1
  108. set_location_assignment PIN_AB11 -to GPIO_CLKIN_N1
  109. set_location_assignment PIN_T16 -to GPIO_CLKOUT_P1
  110. set_location_assignment PIN_R16 -to GPIO_CLKOUT_N1
  111. set_location_assignment PIN_V7 -to GPIO_1[31]
  112. set_location_assignment PIN_V6 -to GPIO_1[30]
  113. set_location_assignment PIN_U8 -to GPIO_1[29]
  114. set_location_assignment PIN_Y7 -to GPIO_1[28]
  115. set_location_assignment PIN_T9 -to GPIO_1[27]
  116. set_location_assignment PIN_U9 -to GPIO_1[26]
  117. set_location_assignment PIN_T10 -to GPIO_1[25]
  118. set_location_assignment PIN_U10 -to GPIO_1[24]
  119. set_location_assignment PIN_R12 -to GPIO_1[23]
  120. set_location_assignment PIN_R11 -to GPIO_1[22]
  121. set_location_assignment PIN_T12 -to GPIO_1[21]
  122. set_location_assignment PIN_U12 -to GPIO_1[20]
  123. set_location_assignment PIN_R14 -to GPIO_1[19]
  124. set_location_assignment PIN_T14 -to GPIO_1[18]
  125. set_location_assignment PIN_AB7 -to GPIO_1[17]
  126. set_location_assignment PIN_AA7 -to GPIO_1[16]
  127. set_location_assignment PIN_AA9 -to GPIO_1[15]
  128. set_location_assignment PIN_AB9 -to GPIO_1[14]
  129. set_location_assignment PIN_V15 -to GPIO_1[13]
  130. set_location_assignment PIN_W15 -to GPIO_1[12]
  131. set_location_assignment PIN_T15 -to GPIO_1[11]
  132. set_location_assignment PIN_U15 -to GPIO_1[10]
  133. set_location_assignment PIN_W17 -to GPIO_1[9]
  134. set_location_assignment PIN_Y17 -to GPIO_1[8]
  135. set_location_assignment PIN_AB17 -to GPIO_1[7]
  136. set_location_assignment PIN_AA17 -to GPIO_1[6]
  137. set_location_assignment PIN_AA18 -to GPIO_1[5]
  138. set_location_assignment PIN_AB18 -to GPIO_1[4]
  139. set_location_assignment PIN_AB19 -to GPIO_1[3]
  140. set_location_assignment PIN_AA19 -to GPIO_1[2]
  141. set_location_assignment PIN_AB20 -to GPIO_1[1]
  142. set_location_assignment PIN_AA20 -to GPIO_1[0]
  143. set_location_assignment PIN_P22 -to PS2_KBCLK
  144. set_location_assignment PIN_P21 -to PS2_KBDAT
  145. set_location_assignment PIN_R21 -to PS2_MSCLK
  146. set_location_assignment PIN_R22 -to PS2_MSDAT
  147. set_location_assignment PIN_U22 -to UART_RXD
  148. set_location_assignment PIN_U21 -to UART_TXD
  149. set_location_assignment PIN_V22 -to UART_RTS
  150. set_location_assignment PIN_V21 -to UART_CTS
  151. set_location_assignment PIN_Y21 -to SD_CLK
  152. set_location_assignment PIN_Y22 -to SD_CMD
  153. set_location_assignment PIN_AA22 -to SD_DAT0
  154. set_location_assignment PIN_W21 -to SD_DAT3
  155. set_location_assignment PIN_W20 -to SD_WP_N
  156. set_location_assignment PIN_C20 -to LCD_DATA[7]
  157. set_location_assignment PIN_D20 -to LCD_DATA[6]
  158. set_location_assignment PIN_B21 -to LCD_DATA[5]
  159. set_location_assignment PIN_B22 -to LCD_DATA[4]
  160. set_location_assignment PIN_C21 -to LCD_DATA[3]
  161. set_location_assignment PIN_C22 -to LCD_DATA[2]
  162. set_location_assignment PIN_D21 -to LCD_DATA[1]
  163. set_location_assignment PIN_D22 -to LCD_DATA[0]
  164. set_location_assignment PIN_E22 -to LCD_RW
  165. set_location_assignment PIN_F22 -to LCD_RS
  166. set_location_assignment PIN_E21 -to LCD_EN
  167. set_location_assignment PIN_F21 -to LCD_BLON
  168. set_location_assignment PIN_J21 -to VGA_G[3]
  169. set_location_assignment PIN_K17 -to VGA_G[2]
  170. set_location_assignment PIN_J17 -to VGA_G[1]
  171. set_location_assignment PIN_H22 -to VGA_G[0]
  172. set_location_assignment PIN_L21 -to VGA_HS
  173. set_location_assignment PIN_L22 -to VGA_VS
  174. set_location_assignment PIN_H21 -to VGA_R[3]
  175. set_location_assignment PIN_H20 -to VGA_R[2]
  176. set_location_assignment PIN_H17 -to VGA_R[1]
  177. set_location_assignment PIN_H19 -to VGA_R[0]
  178. set_location_assignment PIN_K18 -to VGA_B[3]
  179. set_location_assignment PIN_J22 -to VGA_B[2]
  180. set_location_assignment PIN_K21 -to VGA_B[1]
  181. set_location_assignment PIN_K22 -to VGA_B[0]
  182. set_location_assignment PIN_G21 -to CLOCK_50
  183. set_location_assignment PIN_E11 -to HEX0[0]
  184. set_location_assignment PIN_F11 -to HEX0[1]
  185. set_location_assignment PIN_H12 -to HEX0[2]
  186. set_location_assignment PIN_H13 -to HEX0[3]
  187. set_location_assignment PIN_G12 -to HEX0[4]
  188. set_location_assignment PIN_F12 -to HEX0[5]
  189. set_location_assignment PIN_F13 -to HEX0[6]
  190. set_location_assignment PIN_D13 -to HEX0[7]
  191. set_location_assignment PIN_A15 -to HEX1[6]
  192. set_location_assignment PIN_E14 -to HEX1[5]
  193. set_location_assignment PIN_B14 -to HEX1[4]
  194. set_location_assignment PIN_A14 -to HEX1[3]
  195. set_location_assignment PIN_C13 -to HEX1[2]
  196. set_location_assignment PIN_B13 -to HEX1[1]
  197. set_location_assignment PIN_A13 -to HEX1[0]
  198. set_location_assignment PIN_B15 -to HEX1[7]
  199. set_location_assignment PIN_F14 -to HEX2[6]
  200. set_location_assignment PIN_B17 -to HEX2[5]
  201. set_location_assignment PIN_A17 -to HEX2[4]
  202. set_location_assignment PIN_E15 -to HEX2[3]
  203. set_location_assignment PIN_B16 -to HEX2[2]
  204. set_location_assignment PIN_A16 -to HEX2[1]
  205. set_location_assignment PIN_D15 -to HEX2[0]
  206. set_location_assignment PIN_A18 -to HEX2[7]
  207. set_location_assignment PIN_G15 -to HEX3[6]
  208. set_location_assignment PIN_D19 -to HEX3[5]
  209. set_location_assignment PIN_C19 -to HEX3[4]
  210. set_location_assignment PIN_B19 -to HEX3[3]
  211. set_location_assignment PIN_A19 -to HEX3[2]
  212. set_location_assignment PIN_F15 -to HEX3[1]
  213. set_location_assignment PIN_B18 -to HEX3[0]
  214. set_location_assignment PIN_G16 -to HEX3[7]
  215. set_location_assignment PIN_G8 -to DRAM_CAS_N
  216. set_location_assignment PIN_G7 -to DRAM_CS_N
  217. set_location_assignment PIN_E5 -to DRAM_CLK
  218. set_location_assignment PIN_E6 -to DRAM_CKE
  219. set_location_assignment PIN_B5 -to DRAM_BA[0]
  220. set_location_assignment PIN_A4 -to DRAM_BA[1]
  221. set_location_assignment PIN_F10 -to DRAM_DQ[15]
  222. set_location_assignment PIN_E10 -to DRAM_DQ[14]
  223. set_location_assignment PIN_A10 -to DRAM_DQ[13]
  224. set_location_assignment PIN_B10 -to DRAM_DQ[12]
  225. set_location_assignment PIN_C10 -to DRAM_DQ[11]
  226. set_location_assignment PIN_A9 -to DRAM_DQ[10]
  227. set_location_assignment PIN_B9 -to DRAM_DQ[9]
  228. set_location_assignment PIN_A8 -to DRAM_DQ[8]
  229. set_location_assignment PIN_F8 -to DRAM_DQ[7]
  230. set_location_assignment PIN_H9 -to DRAM_DQ[6]
  231. set_location_assignment PIN_G9 -to DRAM_DQ[5]
  232. set_location_assignment PIN_F9 -to DRAM_DQ[4]
  233. set_location_assignment PIN_E9 -to DRAM_DQ[3]
  234. set_location_assignment PIN_H10 -to DRAM_DQ[2]
  235. set_location_assignment PIN_G10 -to DRAM_DQ[1]
  236. set_location_assignment PIN_D10 -to DRAM_DQ[0]
  237. set_location_assignment PIN_E7 -to DRAM_LDQM
  238. set_location_assignment PIN_B8 -to DRAM_UDQM
  239. set_location_assignment PIN_F7 -to DRAM_RAS_N
  240. set_location_assignment PIN_D6 -to DRAM_WE_N
  241. set_location_assignment PIN_B12 -to CLOCK_50_2
  242. set_location_assignment PIN_C8 -to DRAM_ADDR[12]
  243. set_location_assignment PIN_A7 -to DRAM_ADDR[11]
  244. set_location_assignment PIN_B4 -to DRAM_ADDR[10]
  245. set_location_assignment PIN_B7 -to DRAM_ADDR[9]
  246. set_location_assignment PIN_C7 -to DRAM_ADDR[8]
  247. set_location_assignment PIN_A6 -to DRAM_ADDR[7]
  248. set_location_assignment PIN_B6 -to DRAM_ADDR[6]
  249. set_location_assignment PIN_C6 -to DRAM_ADDR[5]
  250. set_location_assignment PIN_A5 -to DRAM_ADDR[4]
  251. set_location_assignment PIN_C3 -to DRAM_ADDR[3]
  252. set_location_assignment PIN_B3 -to DRAM_ADDR[2]
  253. set_location_assignment PIN_A3 -to DRAM_ADDR[1]
  254. set_location_assignment PIN_C4 -to DRAM_ADDR[0]
  255.  
  256. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2]
  257. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
  258. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
  259. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
  260. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
  261. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
  262. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
  263. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
  264. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
  265. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
  266. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
  267. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
  268. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
  269. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
  270. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
  271. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
  272. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
  273. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
  274. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
  275. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
  276. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
  277. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
  278. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
  279. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
  280. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
  281. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
  282. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
  283. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
  284. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
  285. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
  286. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
  287. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
  288. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
  289. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
  290. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
  291. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
  292. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50_2
  293. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
  294. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
  295. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_BYTE_N
  296. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
  297. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
  298. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
  299. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
  300. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
  301. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
  302. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
  303. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
  304. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
  305. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
  306. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
  307. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
  308. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
  309. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
  310. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
  311. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
  312. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
  313. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
  314. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
  315. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
  316. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
  317. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
  318. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
  319. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
  320. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
  321. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
  322. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
  323. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
  324. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
  325. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
  326. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
  327. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
  328. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKOUT_N1
  329. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKOUT_P1
  330. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKIN_N1
  331. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKIN_P1
  332. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[0]
  333. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[1]
  334. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[2]
  335. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[3]
  336. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[4]
  337. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[5]
  338. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[6]
  339. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[7]
  340. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[8]
  341. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[9]
  342. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[10]
  343. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[11]
  344. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[12]
  345. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[13]
  346. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[14]
  347. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[15]
  348. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[16]
  349. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[17]
  350. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[18]
  351. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[19]
  352. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[20]
  353. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[21]
  354. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[22]
  355. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[23]
  356. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[24]
  357. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[25]
  358. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[26]
  359. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[27]
  360. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[28]
  361. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[29]
  362. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[30]
  363. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_0[31]
  364. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKOUT_N0
  365. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKOUT_P0
  366. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKIN_N0
  367. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_CLKIN_P0
  368. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
  369. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
  370. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
  371. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
  372. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
  373. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ15_AM1
  374. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
  375. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
  376. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
  377. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
  378. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
  379. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
  380. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
  381. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
  382. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[8]
  383. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[9]
  384. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[10]
  385. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[11]
  386. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[12]
  387. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[13]
  388. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[14]
  389. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2]
  390. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
  391. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
  392. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
  393. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
  394. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
  395. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
  396. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
  397. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
  398. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
  399. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
  400. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
  401. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
  402. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
  403. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
  404. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
  405. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
  406. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
  407. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
  408. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
  409. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
  410. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
  411. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
  412. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
  413. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
  414. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
  415. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
  416. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
  417. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
  418. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
  419. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
  420. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
  421. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
  422. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
  423. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
  424. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
  425. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
  426. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
  427. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
  428. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7]
  429. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
  430. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
  431. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
  432. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
  433. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
  434. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
  435. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
  436. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7]
  437. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
  438. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
  439. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
  440. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
  441. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
  442. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
  443. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
  444. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7]
  445. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
  446. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
  447. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
  448. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
  449. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
  450. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
  451. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
  452. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7]
  453. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0]
  454. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1]
  455. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
  456. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
  457. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
  458. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
  459. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
  460. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
  461. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
  462. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
  463. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
  464. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
  465. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
  466. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
  467. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
  468. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
  469. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
  470. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
  471. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSDAT
  472. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_MSCLK
  473. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBDAT
  474. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBCLK
  475. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
  476. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
  477. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
  478. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
  479. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
  480. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
  481. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
  482. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
  483. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[8]
  484. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[9]
  485. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
  486. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
  487. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
  488. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
  489. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
  490. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
  491. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
  492. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
  493. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
  494. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
  495. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
  496. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
  497. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
  498. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
  499. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
  500. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
  501. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
  502. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
  503. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
  504. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
  505. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
  506. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
  507. set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
Add Comment
Please, Sign In to add comment