Advertisement
Guest User

Untitled

a guest
Aug 25th, 2019
76
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 11.00 KB | None | 0 0
  1. clash-prelude > configure
  2. clash-prelude > Configuring clash-prelude-0.9999...
  3. clash-prelude > build
  4. clash-prelude > Preprocessing library for clash-prelude-0.9999..
  5. clash-prelude > Building library for clash-prelude-0.9999..
  6. clash-prelude > [ 1 of 88] Compiling Clash.Annotations.BitRepresentation
  7. clash-prelude > [ 2 of 88] Compiling Clash.Annotations.BitRepresentation.Internal
  8. clash-prelude > [ 3 of 88] Compiling Clash.Annotations.BitRepresentation.Util
  9. clash-prelude > [ 4 of 88] Compiling Clash.Annotations.Primitive
  10. clash-prelude > [ 5 of 88] Compiling Clash.Annotations.SynthesisAttributes
  11. clash-prelude > [ 6 of 88] Compiling Clash.Annotations.TopEntity
  12. clash-prelude > [ 7 of 88] Compiling Clash.CPP
  13. clash-prelude > [ 8 of 88] Compiling Clash.Class.BitPack.Internal
  14. clash-prelude > [ 9 of 88] Compiling Clash.Class.HasDomain.CodeGen
  15. clash-prelude > [10 of 88] Compiling Clash.Class.HasDomain.Common
  16. clash-prelude > [11 of 88] Compiling Clash.Class.Num
  17. clash-prelude > [12 of 88] Compiling Clash.Class.Resize
  18. clash-prelude > [13 of 88] Compiling Clash.Hidden
  19. clash-prelude > [14 of 88] Compiling Clash.NamedTypes
  20. clash-prelude > [15 of 88] Compiling Clash.Magic
  21. clash-prelude > [16 of 88] Compiling Clash.Promoted.Symbol
  22. clash-prelude > [17 of 88] Compiling Clash.Sized.Internal.BitVector[boot]
  23. clash-prelude > [18 of 88] Compiling Clash.Sized.Internal.Index[boot]
  24. clash-prelude > [19 of 88] Compiling Clash.Sized.Vector[boot]
  25. clash-prelude > [20 of 88] Compiling Clash.XException
  26. clash-prelude > [21 of 88] Compiling Clash.Promoted.Nat
  27. clash-prelude > [22 of 88] Compiling Clash.Sized.Internal.BitVector
  28. clash-prelude > [23 of 88] Compiling Clash.Sized.BitVector
  29. clash-prelude > [24 of 88] Compiling Clash.Signal.Internal
  30. clash-prelude > [25 of 88] Compiling Clash.Signal.Internal.Ambiguous
  31. clash-prelude > [26 of 88] Compiling Clash.Signal.Bundle.Internal
  32. clash-prelude > [27 of 88] Compiling Clash.Clocks.Deriving
  33. clash-prelude > [28 of 88] Compiling Clash.Clocks
  34. clash-prelude > [29 of 88] Compiling Clash.Intel.ClockGen
  35. clash-prelude > [30 of 88] Compiling Clash.Promoted.Nat.Unsafe
  36. clash-prelude > [31 of 88] Compiling Clash.Promoted.Nat.TH
  37. clash-prelude > [32 of 88] Compiling Clash.Promoted.Nat.Literals
  38. clash-prelude > [33 of 88] Compiling Clash.Class.BitPack
  39. clash-prelude > [34 of 88] Compiling Clash.Prelude.BitReduction
  40. clash-prelude > [35 of 88] Compiling Clash.Prelude.BitIndex
  41. clash-prelude > [36 of 88] Compiling Clash.Sized.Internal.Unsigned
  42. clash-prelude > [37 of 88] Compiling Clash.Sized.Unsigned
  43. clash-prelude > [38 of 88] Compiling Clash.Sized.Internal.Signed
  44. clash-prelude > [39 of 88] Compiling Clash.Sized.Signed
  45. clash-prelude > [40 of 88] Compiling Clash.Sized.Internal.Index
  46. clash-prelude > [41 of 88] Compiling Clash.Sized.Index
  47. clash-prelude > [42 of 88] Compiling Clash.Sized.Vector
  48. clash-prelude > [43 of 88] Compiling Clash.Signal.BiSignal
  49. clash-prelude > [44 of 88] Compiling Clash.Explicit.ROM
  50. clash-prelude > [45 of 88] Compiling Clash.Sized.RTree
  51. clash-prelude > [46 of 88] Compiling Clash.Class.Exp
  52. clash-prelude > [47 of 88] Compiling Clash.Sized.Fixed
  53. clash-prelude > [48 of 88] Compiling Clash.Signal.Bundle
  54. clash-prelude > [49 of 88] Compiling Clash.Explicit.Signal
  55. clash-prelude > [50 of 88] Compiling Clash.Signal.Delayed.Internal
  56. clash-prelude > [51 of 88] Compiling Clash.Class.HasDomain.HasSpecificDomain
  57. clash-prelude > [52 of 88] Compiling Clash.Class.HasDomain.HasSingleDomain
  58. clash-prelude > [53 of 88] Compiling Clash.Class.HasDomain
  59. clash-prelude > [54 of 88] Compiling Clash.Signal
  60. clash-prelude > [55 of 88] Compiling Clash.Prelude.ROM
  61. clash-prelude > [56 of 88] Compiling Clash.Explicit.Testbench
  62. clash-prelude > [57 of 88] Compiling Clash.Prelude.Testbench
  63. clash-prelude > [58 of 88] Compiling Clash.Explicit.Signal.Delayed
  64. clash-prelude > [59 of 88] Compiling Clash.Signal.Delayed
  65. clash-prelude > [60 of 88] Compiling Clash.Signal.Delayed.Bundle
  66. clash-prelude > [61 of 88] Compiling Clash.Explicit.RAM
  67. clash-prelude > [62 of 88] Compiling Clash.Prelude.RAM
  68. clash-prelude > [63 of 88] Compiling Clash.Explicit.Moore
  69. clash-prelude > [64 of 88] Compiling Clash.Prelude.Moore
  70. clash-prelude > [65 of 88] Compiling Clash.Explicit.Mealy
  71. clash-prelude > [66 of 88] Compiling Clash.Prelude.Mealy
  72. clash-prelude > [67 of 88] Compiling Clash.Explicit.BlockRam.File
  73. clash-prelude > [68 of 88] Compiling Clash.Prelude.BlockRam.File
  74. clash-prelude > [69 of 88] Compiling Clash.Explicit.ROM.File
  75. clash-prelude > [70 of 88] Compiling Clash.Prelude.ROM.File
  76. clash-prelude > [71 of 88] Compiling Clash.Explicit.BlockRam
  77. clash-prelude > [72 of 88] Compiling Clash.Prelude.BlockRam
  78. clash-prelude > [73 of 88] Compiling Clash.Prelude.DataFlow
  79. clash-prelude > [74 of 88] Compiling Clash.Explicit.Synchronizer
  80. clash-prelude > [75 of 88] Compiling Clash.Prelude.Synchronizer
  81. clash-prelude > [76 of 88] Compiling Clash.Explicit.Prelude.Safe
  82. clash-prelude > [77 of 88] Compiling Clash.Prelude.Safe
  83. clash-prelude > [78 of 88] Compiling Clash.Annotations.BitRepresentation.Deriving
  84. clash-prelude > [79 of 88] Compiling Clash.Xilinx.ClockGen
  85. clash-prelude > [80 of 88] Compiling Paths_clash_prelude
  86. clash-prelude > [81 of 88] Compiling Clash.Signal.Trace
  87. clash-prelude > [82 of 88] Compiling Clash.Explicit.Prelude
  88. clash-prelude > [83 of 88] Compiling Clash.Prelude
  89. clash-prelude > [84 of 88] Compiling Clash.Tutorial
  90. clash-prelude > [85 of 88] Compiling Clash.Examples
  91. clash-prelude > [86 of 88] Compiling Clash.Explicit.DDR
  92. clash-prelude > [87 of 88] Compiling Clash.Xilinx.DDR
  93. clash-prelude > [88 of 88] Compiling Clash.Intel.DDR
  94. clash-prelude > haddock
  95. clash-prelude > Preprocessing library for clash-prelude-0.9999..
  96. clash-prelude > Running Haddock on library for clash-prelude-0.9999..
  97. clash-prelude >
  98. clash-prelude > <no location info>: warning: [-Wmissing-home-modules]
  99. clash-prelude > These modules are needed for compilation but not listed in your .cabal file's other-modules:
  100. clash-prelude > Clash.Sized.Internal.BitVector
  101. clash-prelude > Clash.Sized.Internal.Index
  102. clash-prelude > Clash.Sized.Vector
  103. clash-prelude >
  104. clash-prelude > src/Clash/Class/Resize.hs:35:12: error:
  105. clash-prelude > • Could not deduce (KnownNat (b + a))
  106. clash-prelude > arising from a use of ‘resize’
  107. clash-prelude > from the context: Resize f
  108. clash-prelude > bound by the class declaration for ‘Resize’
  109. clash-prelude > at src/Clash/Class/Resize.hs:21:7-12
  110. clash-prelude > or from: (KnownNat a, KnownNat b)
  111. clash-prelude > bound by the type signature for:
  112. clash-prelude > extend :: forall (a :: Nat) (b :: Nat).
  113. clash-prelude > (KnownNat a, KnownNat b) =>
  114. clash-prelude > f a -> f (b + a)
  115. clash-prelude > at src/Clash/Class/Resize.hs:34:13-56
  116. clash-prelude > • In the expression: resize
  117. clash-prelude > In an equation for ‘extend’: extend = resize
  118. clash-prelude > |
  119. clash-prelude > 35 | extend = resize
  120. clash-prelude > | ^^^^^^
  121. clash-prelude >
  122. clash-prelude > src/Clash/Class/Resize.hs:40:16: error:
  123. clash-prelude > • Could not deduce (KnownNat (b + a))
  124. clash-prelude > arising from a use of ‘resize’
  125. clash-prelude > from the context: Resize f
  126. clash-prelude > bound by the class declaration for ‘Resize’
  127. clash-prelude > at src/Clash/Class/Resize.hs:21:7-12
  128. clash-prelude > or from: (KnownNat a, KnownNat b)
  129. clash-prelude > bound by the type signature for:
  130. clash-prelude > signExtend :: forall (a :: Nat) (b :: Nat).
  131. clash-prelude > (KnownNat a, KnownNat b) =>
  132. clash-prelude > f a -> f (b + a)
  133. clash-prelude > at src/Clash/Class/Resize.hs:39:17-60
  134. clash-prelude > • In the expression: resize
  135. clash-prelude > In an equation for ‘signExtend’: signExtend = resize
  136. clash-prelude > |
  137. clash-prelude > 40 | signExtend = resize
  138. clash-prelude > | ^^^^^^
  139. clash-prelude > Haddock coverage:
  140. clash-prelude > 64% ( 7 / 11) in 'Clash.Annotations.BitRepresentation'
  141. clash-prelude > Missing documentation for:
  142. clash-prelude > BitMask (src/Clash/Annotations/BitRepresentation.hs:42)
  143. clash-prelude > Value (src/Clash/Annotations/BitRepresentation.hs:43)
  144. clash-prelude > Size (src/Clash/Annotations/BitRepresentation.hs:44)
  145. clash-prelude > FieldAnn (src/Clash/Annotations/BitRepresentation.hs:46)
  146. clash-prelude > 82% ( 9 / 11) in 'Clash.Annotations.BitRepresentation.Internal'
  147. clash-prelude > Missing documentation for:
  148. clash-prelude > dataReprAnnToDataRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:78)
  149. clash-prelude > constrReprToConstrRepr' (src/Clash/Annotations/BitRepresentation/Internal.hs:74)
  150. clash-prelude > 71% ( 5 / 7) in 'Clash.Annotations.BitRepresentation.Util'
  151. clash-prelude > Missing documentation for:
  152. clash-prelude > isContinuousMask (src/Clash/Annotations/BitRepresentation/Util.hs:149)
  153. clash-prelude > Bit (src/Clash/Annotations/BitRepresentation/Util.hs:25)
  154. clash-prelude > 44% ( 4 / 9) in 'Clash.Annotations.Primitive'
  155. clash-prelude > Missing documentation for:
  156. clash-prelude > dontTranslate (src/Clash/Annotations/Primitive.hs:141)
  157. clash-prelude > hasBlackBox (src/Clash/Annotations/Primitive.hs:144)
  158. clash-prelude > warnNonSynthesizable (src/Clash/Annotations/Primitive.hs:147)
  159. clash-prelude > warnAlways (src/Clash/Annotations/Primitive.hs:150)
  160. clash-prelude > HDL (src/Clash/Annotations/Primitive.hs:153)
  161. clash-prelude > 67% ( 2 / 3) in 'Clash.Annotations.SynthesisAttributes'
  162. clash-prelude > Missing documentation for:
  163. clash-prelude > Annotate (src/Clash/Annotations/SynthesisAttributes.hs:24)
  164. clash-prelude > 100% ( 6 / 6) in 'Clash.Annotations.TopEntity'
  165. clash-prelude > 33% ( 1 / 3) in 'Clash.Class.HasDomain.CodeGen'
  166. clash-prelude > Missing documentation for:
  167. clash-prelude > mkTryDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:40)
  168. clash-prelude > mkHasDomainTuples (src/Clash/Class/HasDomain/CodeGen.hs:65)
  169. clash-prelude > 40% ( 2 / 5) in 'Clash.Class.HasDomain.Common'
  170. clash-prelude > Missing documentation for:
  171. clash-prelude > :<<>>: (src/Clash/Class/HasDomain/Common.hs:29)
  172. clash-prelude > :$$$: (src/Clash/Class/HasDomain/Common.hs:32)
  173. clash-prelude > :++: (src/Clash/Class/HasDomain/Common.hs:43)
  174. clash-prelude > 100% ( 11 / 11) in 'Clash.Class.Num'
  175.  
  176. -- While building package clash-prelude-0.9999 using:
  177. /home/dram/.stack/setup-exe-cache/x86_64-linux-tinfo6/Cabal-simple_mPHDZzAJ_2.4.0.1_ghc-8.6.5 --builddir=.stack-work/dist/x86_64-linux-tinfo6/Cabal-2.4.0.1 haddock --html --hoogle --html-location=../$pkg-$version/ --haddock-option=--hyperlinked-source --haddock-option=--quickjump
  178. Process exited with code: ExitFailure 1
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement