Advertisement
Guest User

Untitled

a guest
Oct 22nd, 2018
100
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 4.58 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3.  
  4. entity 1reg is
  5. port( d : IN std_logic;
  6. c : IN std_logic;
  7. r : IN std_logic;
  8. q1 : OUT std_logic;
  9. q2 : OUT srd_logic);
  10. end 1reg;
  11. architecture behav of 1reg is
  12. signal q1t:std_logic;
  13. signal q2t:std_logic;
  14. signal d2:std_logic;
  15. signal d3:std_logic;
  16. begin
  17. process (d, c, r)
  18. begin
  19. if r = '0' then
  20. q1t <= '0';
  21. q2t <= '0';
  22. d2 <= '0';
  23. d3 <= '0';
  24. else
  25. if c = '1' then
  26. d2<=d;
  27. q1t<=q1t;
  28. d3<=q1t;
  29. q2t<=q2t;
  30. else
  31. d2<=d2;
  32. q1t<=d2;
  33. d3<=d3;
  34. q2t<=d3;
  35. end if;
  36. end if;
  37. end process;
  38. q1<=q1t;
  39. q2<=q2t;
  40. end behav;
  41. -------------------
  42. LIBRARY ieee;
  43. USE ieee.std_logic_1164.all;
  44.  
  45. ENTITY dv2reg IS
  46.  
  47. PORT
  48. (
  49. D : IN STD_LOGIC;
  50. C1 : IN STD_LOGIC;
  51. C2 : IN STD_LOGIC;
  52. R : IN STD_LOGIC;
  53. Q1 : OUT STD_LOGIC;
  54. Q2 : OUT STD_LOGIC
  55. );
  56.  
  57. END dv2reg;
  58.  
  59. ARCHITECTURE a OF dv2reg IS
  60.  
  61. SIGNAL A1 : STD_LOGIC;
  62. SIGNAL A2 : STD_LOGIC;
  63. SIGNAL B1 : STD_LOGIC;
  64. SIGNAL B2 : STD_LOGIC;
  65.  
  66. BEGIN
  67.  
  68. PROCESS (D, R,C1,C2)
  69. BEGIN
  70.  
  71. IF R = '0' THEN
  72.  
  73. A2 <= '0';
  74. B2 <= '0';
  75.  
  76. ELSE
  77. IF C1 = '1' THEN
  78. A1 <= D;
  79. B1 <= A2;
  80. ELSE
  81. A1<=A1;
  82. B1<=B1;
  83. END IF;
  84.  
  85. If C2 = '1' then
  86. A2 <= A1;
  87. B2 <= B1;
  88. Else
  89. A2 <= A2;
  90. B2<= B2;
  91. END IF;
  92. END IF;
  93.  
  94. END PROCESS;
  95. Q1<=A2;
  96. Q2<=B2;
  97. END a;
  98. ----------------------
  99. library IEEE;
  100. use IEEE.std_logic_1164.all;
  101. entity dff_async is
  102. port (data, clk, reset, preset : in std_logic;
  103. q : out std_logic);
  104. end dff_async;
  105. architecture behav of dff_async is
  106. begin
  107. process (clk, reset, preset) begin
  108. if (reset = '0') then
  109. q <= '0';
  110. elsif (preset = '0') then
  111. q <= '1';
  112. elsif (clk'event and clk = '1') then
  113. q <= data;
  114. end if;
  115. end process;
  116. end behav;
  117. -----------------------------
  118. LIBRARY ieee;
  119. USE ieee.std_logic_1164.all;
  120.  
  121. ENTITY dvreg IS
  122.  
  123. PORT
  124. (
  125. D: IN STD_LOGIC;
  126. C : IN STD_LOGIC;
  127. R : IN STD_LOGIC;
  128. Q1 : OUT STD_LOGIC;
  129. P1 : OUT STD_LOGIC;
  130. Q2 : OUT STD_LOGIC;
  131. P2 : OUT STD_LOGIC
  132. );
  133.  
  134. END dvreg;
  135.  
  136. ARCHITECTURE a OF dvreg IS
  137.  
  138. SIGNAL A: STD_LOGIC;
  139. SIGNAL B: STD_LOGIC;
  140. SIGNAL B1: STD_LOGIC;
  141. SIGNAL A1: STD_LOGIC;
  142.  
  143. BEGIN
  144.  
  145. PROCESS (D, C ,R)
  146. BEGIN
  147.  
  148. IF R='0' THEN
  149. A<='0';
  150. B<='0';
  151. B1<='0';
  152. A1<='0';
  153. ELSE
  154. IF C='1' THEN
  155. A<=D;
  156. B<=B;
  157. B1<=B;
  158. A1<=A1;
  159. ELSE
  160. A<=A;
  161. B<=A;
  162. B1<=B1;
  163. A1<=B1;
  164. END IF;
  165.  
  166. END IF;
  167.  
  168.  
  169. END PROCESS;
  170.  
  171. P1<=A;
  172. Q1<=B;
  173. P2<=B1;
  174. Q2<=A1;
  175.  
  176. END a;
  177. ------------------------
  178. -- MAX+plus II VHDL Template
  179. -- Clearable flipflop with enable
  180.  
  181. LIBRARY ieee;
  182. USE ieee.std_logic_1164.all;
  183.  
  184. ENTITY 2reg IS
  185.  
  186. PORT
  187. (
  188. D : IN STD_LOGIC;
  189. C1 : IN STD_LOGIC;
  190. C2 : IN STD_LOGIC;
  191. R : IN STD_LOGIC;
  192. Q1 : OUT STD_LOGIC;
  193. Q2 : OUT STD_LOGIC;
  194. );
  195.  
  196. END 2reg;
  197.  
  198. ARCHITECTURE a OF 2reg IS
  199.  
  200. SIGNAL A : STD_LOGIC;
  201. SIGNAL B : STD_LOGIC;
  202.  
  203. BEGIN
  204.  
  205. PROCESS (C1, C2, D, R)
  206. BEGIN
  207.  
  208. IF R = '0' THEN
  209.  
  210. A <= '0';
  211. B <= '0';
  212.  
  213. ELSE
  214.  
  215. IF C1 = '1' THEN A <= D;
  216. ELSE A<=A;
  217. END IF;
  218.  
  219.  
  220. IF C2 = '1' THEN B<=A;
  221. ELSE B<=B;
  222. END IF;
  223.  
  224. END IF;
  225.  
  226. END PROCESS;
  227. Q1<=A;
  228. Q2<=B;
  229. END a;
  230. --------------
  231. -- MAX+plus II VHDL Template
  232. -- Clearable flipflop with enable
  233.  
  234. LIBRARY ieee;
  235. USE ieee.std_logic_1164.all;
  236.  
  237. ENTITY regP IS
  238. PORT
  239. (X1 : IN STD_LOGIC;
  240. X2 : IN STD_LOGIC;
  241. X3 : IN STD_LOGIC;
  242. X4 : IN STD_LOGIC;
  243. write : IN STD_LOGIC;
  244. reset : IN STD_LOGIC;
  245. read : IN STD_LOGIC;
  246. Q1 : OUT STD_LOGIC;
  247. Q2 : OUT STD_LOGIC;
  248. Q3 : OUT STD_LOGIC;
  249. Q4 : OUT STD_LOGIC);
  250. END regP;
  251.  
  252. ARCHITECTURE behav OF regP IS
  253. SIGNAL A1: STD_LOGIC;
  254. SIGNAL A2: STD_LOGIC;
  255. SIGNAL A3: STD_LOGIC;
  256. SIGNAL A4: STD_LOGIC;
  257. SIGNAL B1: STD_LOGIC;
  258. SIGNAL B2: STD_LOGIC;
  259. SIGNAL B3: STD_LOGIC;
  260. SIGNAL B4: STD_LOGIC;
  261.  
  262. BEGIN
  263.  
  264. PROCESS (X1,X2,X3,X4,write,reset,read)
  265. BEGIN
  266. IF Reset = '0' THEN
  267. A1 <= '0';
  268. A2 <= '0';
  269. A3 <= '0';
  270. A4 <= '0';
  271. ELSE
  272. IF write = '1' THEN
  273. A1 <= X1;
  274. A2 <= X2;
  275. A3 <= X3;
  276. A4 <= X4;
  277. ELSE
  278. A1 <= A1;
  279. A2 <= A2;
  280. A3 <= A3;
  281. A4 <= A4;
  282. END IF;
  283. END IF;
  284. B1 <= A1 and read;
  285. B2 <= A2 and read;
  286. B3 <= A3 and read;
  287. B4 <= A4 and read;
  288. END PROCESS;
  289. Q1 <= B1;
  290. Q2 <= B2;
  291. Q3 <= B3;
  292. Q4 <= B4;
  293. END behav;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement