Guest User

Untitled

a guest
Dec 18th, 2017
70
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.39 KB | None | 0 0
  1. property p_NOP_2_RX_CAL;
  2. @(posedge clk)
  3. (cal_frame_mode==3'b001) |-> ##2 $past(cal_frame_mode)==3'b000;
  4. endproperty
  5.  
  6. assert_nop2cal : assert property(p_NOP_2_RX_CAL);
  7.  
  8. (cal_frame_mode==3'b001) |-> ##2 $past(cal_frame_mode)==3'b000;
  9.  
  10. (cal_frame_mode==3'b001) |-> ##1 cal_frame_mode==3'b000;
  11.  
  12. $changed(cal_frame_mode) && (cal_frame_mode==3'b001) |-> $past(cal_frame_mode)==3'b000;
Add Comment
Please, Sign In to add comment