Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- property p_NOP_2_RX_CAL;
- @(posedge clk)
- (cal_frame_mode==3'b001) |-> ##2 $past(cal_frame_mode)==3'b000;
- endproperty
- assert_nop2cal : assert property(p_NOP_2_RX_CAL);
- (cal_frame_mode==3'b001) |-> ##2 $past(cal_frame_mode)==3'b000;
- (cal_frame_mode==3'b001) |-> ##1 cal_frame_mode==3'b000;
- $changed(cal_frame_mode) && (cal_frame_mode==3'b001) |-> $past(cal_frame_mode)==3'b000;
Add Comment
Please, Sign In to add comment