Advertisement
Guest User

Untitled

a guest
May 24th, 2017
58
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.36 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3.  
  4. entity reg is
  5. port (
  6. clk : in std_logic;
  7. i_port : in std_logic_vector(7 downto 0);
  8. o_port : out std_logic_vector(7 downto 0)
  9. );
  10. end entity reg;
  11.  
  12. architecture arch of reg is
  13. begin
  14. process(clk) is
  15. begin
  16. if rising_edge(clk) then
  17. o_port <= i_port;
  18. end if;
  19. end process;
  20. end architecture arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement