Advertisement
Guest User

Untitled

a guest
Apr 30th, 2020
136
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
LLVM 1.67 KB | None | 0 0
  1. ; Function Attrs: nobuiltin nounwind uwtable
  2. define i1 @start() #2 !dbg !44 {
  3. Entry:
  4.   %result = alloca i1, align 1
  5.   %foobles_opt = alloca %"?i32", align 4
  6.   %foobles_x = alloca i32*, align 8
  7.   %foobles_result = alloca i1, align 1
  8.   call fastcc void @fooblesGenOpt(%"?i32"* sret %foobles_opt), !dbg !59
  9.   call void @llvm.dbg.declare(metadata %"?i32"* %foobles_opt, metadata !49, metadata !DIExpression()), !dbg !60
  10.   %0 = getelementptr inbounds %"?i32", %"?i32"* %foobles_opt, i32 0, i32 1, !dbg !61
  11.   %1 = load i1, i1* %0, align 1, !dbg !61
  12.   br i1 %1, label %OptionalThen, label %OptionalElse, !dbg !61
  13.  
  14. OptionalThen:                                     ; preds = %Entry
  15.   %2 = getelementptr inbounds %"?i32", %"?i32"* %foobles_opt, i32 0, i32 0, !dbg !61
  16.   store i32* %2, i32** %foobles_x, align 8, !dbg !61
  17.   call void @llvm.dbg.declare(metadata i32** %foobles_x, metadata !56, metadata !DIExpression()), !dbg !61
  18.   %3 = load i32*, i32** %foobles_x, align 8, !dbg !62
  19.   %4 = load i32, i32* %3, align 4, !dbg !63
  20.   %5 = icmp eq i32 %4, 10, !dbg !64
  21.   store i1 %5, i1* %foobles_result, align 1, !dbg !64
  22.   br label %OptionalEndIf, !dbg !61
  23.  
  24. OptionalElse:                                     ; preds = %Entry
  25.   store i1 false, i1* %foobles_result, align 1, !dbg !65
  26.   br label %OptionalEndIf, !dbg !61
  27.  
  28. OptionalEndIf:                                    ; preds = %OptionalElse, %OptionalThen
  29.   call void @llvm.dbg.declare(metadata i1* %foobles_result, metadata !58, metadata !DIExpression()), !dbg !66
  30.   %6 = load i1, i1* %foobles_result, align 1, !dbg !67
  31.   store i1 %6, i1* %result, align 1, !dbg !67
  32.   %7 = load i1, i1* %result, align 1, !dbg !68
  33.   ret i1 %7, !dbg !68
  34. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement