Advertisement
Guest User

Untitled

a guest
Mar 25th, 2019
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.13 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company: Digilent Inc 2011
  3. -- Engineer: Michelle Yu
  4. -- Create Date: 17:05:39 08/23/2011
  5. --
  6. -- Module Name: PmodKYPD - Behavioral
  7. -- Project Name: PmodKYPD
  8. -- Target Devices: Nexys3
  9. -- Tool versions: Xilinx ISE 13.2
  10. -- Description:
  11. -- This file defines a project that outputs the key pressed on the PmodKYPD to the seven segment display
  12. --
  13. -- Revision:
  14. -- Revision 0.01 - File Created
  15. ----------------------------------------------------------------------------------
  16. library IEEE;
  17. use IEEE.STD_LOGIC_1164.ALL;
  18. use IEEE.STD_LOGIC_ARITH.ALL;
  19. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  20.  
  21. entity PmodKYPD is
  22. Port (
  23. clk : in STD_LOGIC;
  24. JA : inout STD_LOGIC_VECTOR (7 downto 0); -- PmodKYPD is designed to be connected to JA
  25. an : out STD_LOGIC_VECTOR (3 downto 0); -- Controls which position of the seven segment display to display
  26. seg : out STD_LOGIC_VECTOR (6 downto 0)); -- digit to display on the seven segment display
  27. end PmodKYPD;
  28.  
  29. architecture Behavioral of PmodKYPD is
  30.  
  31. begin
  32.  
  33. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement