Guest User

Untitled

a guest
Jul 22nd, 2018
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.33 KB | None | 0 0
  1. entity reg8b is
  2. port ( LD,CLK,CLR : in std_logic;
  3. D_IN : in std_logic_vector (7 downto 0);
  4. D_OUT : out std_logic_vector (7 downto 0));
  5. end reg8b;
  6.  
  7.  
  8. architecture Behavioral of reg8b is
  9. begin
  10. process (CLK,LD)
  11. begin
  12. if (LD = '1' and rising_edge(CLK)) then
  13. D_OUT <= D_IN;
  14. end if;
  15. end process;
  16. end Behavioral;
Add Comment
Please, Sign In to add comment