Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- module test (
- input wire [15:0] pattern//,
- //output reg [2:0] match
- );
- always @ (*) begin
- casez (pattern)
- 16'h000? : $display("Matched on 000? for %h", pattern);
- 16'h00?? : $display("Matched on 00?? for %h", pattern);
- 16'h0??? : $display("Matched on 0??? for %h", pattern);
- 16'h???? : $display("Matched on ???? for %h", pattern);
- default : $display("Did not match patterns");
- endcase
- end
- endmodule : test
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement