Advertisement
Guest User

Untitled

a guest
Mar 28th, 2020
79
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.54 KB | None | 0 0
  1. module MAIN;
  2. reg J;
  3. reg K;
  4. reg CLK;
  5. wire Q, nQ;
  6. localparam per = 28;
  7. JKTrigger jktrigger(J,K,CLK,Q,nQ);
  8. initial
  9. begin
  10. $dumpfile("dump.vcd");
  11. $dumpvars(1);
  12. CLK = 1;
  13. J = 0;
  14. K = 1;
  15. #5;
  16. J = 1;
  17. K = 0;
  18. #5;
  19. J = 0;
  20. K = 0;
  21. #5;
  22. J = 1;
  23. K = 1;
  24. #5
  25. J = 1;
  26. K = 0;
  27. #5;
  28. J = 0;
  29. K = 0;
  30. #5;
  31. J = 1;
  32. K = 1;
  33. #5
  34. $finish;
  35. end
  36. always #per CLK = ~CLK;
  37. endmodule;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement