Advertisement
Guest User

Untitled

a guest
Oct 18th, 2018
83
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.57 KB | None | 0 0
  1. LIBRARY ieee ;
  2. USE ieee.std logic 1164.all ;
  3. ENTITY mux4to1 IS
  4. PORT ( w0, w1, w2, w3 : IN
  5. STD LOGIC ;
  6. s
  7. : IN
  8. STD LOGIC VECTOR(1 DOWNTO 0) ;
  9. f
  10. : OUT STD LOGIC ) ;
  11. END mux4to1 ;
  12. ARCHITECTURE Behavior OF mux4to1 IS
  13. BEGIN
  14. WITH s SELECT
  15. f <
  16. w0 WHEN ”00”,
  17. w1 WHEN ”01”,
  18. w2 WHEN ”10”,
  19. w3 WHEN OTHERS ;
  20. END Behavior ;
  21. LIBRARY ieee ;
  22. USE ieee.std logic 1164.all ;
  23. PACKAGE mux4to1 package IS
  24. COMPONENT mux4to1
  25. PORT ( w0, w1, w2, w3 : IN
  26. STD LOGIC ;
  27. s
  28. : IN
  29. STD LOGIC VECTOR(1 DOWNTO 0) ;
  30. f
  31. : OUT STD LOGIC ) ;
  32. END COMPONENT ;
  33. END mux4to1 package ;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement