Advertisement
Guest User

Untitled

a guest
Nov 18th, 2019
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.91 KB | None | 0 0
  1. -- TestBench Template
  2.  
  3. LIBRARY ieee;
  4. USE ieee.std_logic_1164.ALL;
  5. USE ieee.numeric_std.ALL;
  6.  
  7. ENTITY testbench IS
  8. END testbench;
  9.  
  10. ARCHITECTURE behavior OF testbench IS
  11.  
  12. -- Component Declaration
  13. COMPONENT kod
  14. PORT(
  15. X : in STD_LOGIC;
  16. Y : out STD_LOGIC;
  17. CLR : in STD_LOGIC;
  18. CLK : in STD_LOGIC;
  19. CE : in STD_LOGIC;
  20. );
  21. END COMPONENT;
  22.  
  23.  
  24. --Inputs
  25. signal X : std_logic := '0';
  26. signal Y : std_logic := '0';
  27. signal CLR : std_logic := '0';
  28. signal CLK : std_logic := '0';
  29. signal CE : std_logic := '0';
  30.  
  31. --Outputs
  32. signal Y : std_logic;
  33.  
  34. -- Clock period definitions
  35. constant clock_period : time := 10 ns;
  36.  
  37.  
  38.  
  39.  
  40. BEGIN
  41.  
  42. -- Clock process definitions
  43. clock_process :process
  44. begin
  45. clock <= '0';
  46. wait for clock_period;
  47. clock <= '1';
  48. wait for clock_period;
  49. end process;
  50.  
  51.  
  52. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement