Advertisement
Guest User

Untitled

a guest
Dec 9th, 2019
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.80 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3. ENTITY Kolokwium IS
  4. PORT(
  5. clk: IN STD_LOGIC;
  6. input: IN STD_LOGIC;
  7. reset: IN STD_LOGIC;
  8. output: OUT STD_LOGIC_VECTOR(1 downto 0));
  9. END Kolokwium;
  10.  
  11. ARCHITECTURE K OF Kolokwium IS
  12. TYPE STATE_TYPE IS (A,B,C);
  13. SIGNAL state: STATE_TYPE;
  14. BEGIN
  15. PROCESS(clk, reset)
  16. BEGIN
  17. IF reset='1' THEN
  18. state<=A;
  19. ELSIF(clk'EVENT AND clk='1') THEN
  20. CASE state IS
  21. WHEN A=>
  22. IF input='1' THEN state <=B;
  23. ELSE state<=A;
  24. END IF;
  25. WHEN B=>
  26. IF input='1' THEN state <=A;
  27. ELSE state<=B;
  28. END IF;
  29. WHEN C=>
  30. IF input='1' THEN state <=C;
  31. ELSE state<=A;
  32. END IF;
  33. END CASE;
  34. END IF;
  35. END PROCESS;
  36.  
  37. PROCESS(state)
  38. BEGIN
  39. CASE state IS
  40. WHEN A=>output<="10";
  41. WHEN B=>output<="10";
  42. WHEN C=>output<="01";
  43. END CASE;
  44. END PROCESS;
  45. END K;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement