MBJ

Mux B

MBJ
Apr 22nd, 2019
1,189
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module MUX_B(
  4.             input MB,
  5.             input [31:0] CONST_DATA, B_DATA,
  6.             output [31:0] Bus_B
  7.             );
  8.  
  9. assign Bus_B = (!MB)? B_DATA : CONST_DATA;
  10.  
  11. endmodule
Add Comment
Please, Sign In to add comment