Guest User

Untitled

a guest
Sep 1st, 2022
14
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 8.45 KB | None | 0 0
  1. # Create a clock for the scan chain @ 200 MHz
  2. create_clock -name clk_scan_in -period 5 [get_ports {clk_in}]
  3. create_generated_clock -name clk_scan_out -source clk_in -combinational [get_ports {clk_out}]
  4.  
  5. # Scan chain input 0.5 ns setup time, 0.5 ns hold time
  6. set_input_delay -min 0.5 -clock [get_clocks clk_scan_in] [get_ports {data_in}]
  7. set_input_delay -max 0.5 -clock [get_clocks clk_scan_in] [get_ports {data_in}]
  8.  
  9. # Scan chain output 1.5 ns setup time, 1.5 ns hold time
  10. set_output_delay -min -1.5 -clock [get_clocks clk_scan_out] [get_ports {data_out}]
  11. set_output_delay -max 1.5 -clock [get_clocks clk_scan_out] [get_ports {data_out}]
  12.  
  13.  
  14. ===========================================================================
  15. report_checks -path_delay max (Setup)
  16. ============================================================================
  17.  
  18. ======================= Slowest Corner ===================================
  19. Startpoint: data_in (input port clocked by clk_scan_in)
  20. Endpoint: scan_flop[0] (rising edge-triggered flip-flop clocked by clk_scan_in)
  21. Path Group: clk_scan_in
  22. Path Type: max
  23. Corner: ss
  24.  
  25. Fanout Cap Slew Delay Time Description
  26. -----------------------------------------------------------------------------
  27. 0.00 0.00 clock clk_scan_in (rise edge)
  28. 0.00 0.00 clock network delay (propagated)
  29. 0.50 0.50 v input external delay
  30. 0.04 0.03 0.53 v data_in (in)
  31. 1 0.01 data_in (net)
  32. 0.04 0.00 0.53 v scan_flop[0]/D (sky130_fd_sc_hd__sdfxtp_1)
  33. 0.53 data arrival time
  34.  
  35. 5.00 5.00 clock clk_scan_in (rise edge)
  36. 0.00 5.00 clock source latency
  37. 0.36 0.24 5.24 ^ clk_in (in)
  38. 10 0.05 clk_in (net)
  39. 0.36 0.00 5.24 ^ scan_flop[0]/CLK (sky130_fd_sc_hd__sdfxtp_1)
  40. -0.20 5.04 clock uncertainty
  41. 0.00 5.04 clock reconvergence pessimism
  42. -0.36 4.68 library setup time
  43. 4.68 data required time
  44. -----------------------------------------------------------------------------
  45. 4.68 data required time
  46. -0.53 data arrival time
  47. -----------------------------------------------------------------------------
  48. 4.15 slack (MET)
  49.  
  50. Startpoint: out_flop (falling edge-triggered flip-flop clocked by clk_scan_in)
  51. Endpoint: data_out (output port clocked by clk_scan_out)
  52. Path Group: clk_scan_out
  53. Path Type: max
  54. Corner: ss
  55.  
  56. Fanout Cap Slew Delay Time Description
  57. -----------------------------------------------------------------------------
  58. 2.50 2.50 clock clk_scan_in (fall edge)
  59. 0.00 2.50 clock source latency
  60. 0.21 0.16 2.66 v clk_in (in)
  61. 10 0.05 clk_in (net)
  62. 0.21 0.00 2.66 v out_flop/CLK_N (sky130_fd_sc_hd__dfrtn_1)
  63. 0.52 1.24 3.90 ^ out_flop/Q (sky130_fd_sc_hd__dfrtn_1)
  64. 1 0.03 data_out (net)
  65. 0.52 0.00 3.90 ^ data_out (out)
  66. 3.90 data arrival time
  67.  
  68. 5.00 5.00 clock clk_scan_out (rise edge)
  69. 0.00 5.00 clock source latency
  70. 0.36 0.24 5.24 ^ clk_in (in)
  71. 10 0.05 clk_in (net)
  72. 0.36 0.00 5.24 ^ _54_/A (sky130_fd_sc_hd__buf_2)
  73. 0.28 0.45 5.69 ^ _54_/X (sky130_fd_sc_hd__buf_2)
  74. 1 0.03 clk_out (net)
  75. 0.28 0.00 5.69 ^ clk_out (out)
  76. -0.20 5.49 clock uncertainty
  77. 0.00 5.49 clock reconvergence pessimism
  78. -1.50 3.99 output external delay
  79. 3.99 data required time
  80. -----------------------------------------------------------------------------
  81. 3.99 data required time
  82. -3.90 data arrival time
  83. -----------------------------------------------------------------------------
  84. 0.10 slack (MET)
  85.  
  86.  
  87. ===========================================================================
  88. report_checks -path_delay min (Hold)
  89. ============================================================================
  90.  
  91. ======================= Fastest Corner ===================================
  92. Startpoint: data_in (input port clocked by clk_scan_in)
  93. Endpoint: scan_flop[0] (rising edge-triggered flip-flop clocked by clk_scan_in)
  94. Path Group: clk_scan_in
  95. Path Type: min
  96. Corner: ff
  97.  
  98. Fanout Cap Slew Delay Time Description
  99. -----------------------------------------------------------------------------
  100. 0.00 0.00 clock clk_scan_in (rise edge)
  101. 0.00 0.00 clock network delay (propagated)
  102. 0.50 0.50 ^ input external delay
  103. 0.03 0.02 0.52 ^ data_in (in)
  104. 1 0.01 data_in (net)
  105. 0.03 0.00 0.52 ^ scan_flop[0]/D (sky130_fd_sc_hd__sdfxtp_1)
  106. 0.52 data arrival time
  107.  
  108. 0.00 0.00 clock clk_scan_in (rise edge)
  109. 0.00 0.00 clock source latency
  110. 0.18 0.13 0.13 ^ clk_in (in)
  111. 10 0.05 clk_in (net)
  112. 0.18 0.00 0.13 ^ scan_flop[0]/CLK (sky130_fd_sc_hd__sdfxtp_1)
  113. 0.20 0.33 clock uncertainty
  114. 0.00 0.33 clock reconvergence pessimism
  115. -0.04 0.29 library hold time
  116. 0.29 data required time
  117. -----------------------------------------------------------------------------
  118. 0.29 data required time
  119. -0.52 data arrival time
  120. -----------------------------------------------------------------------------
  121. 0.23 slack (MET)
  122.  
  123. Startpoint: out_flop (falling edge-triggered flip-flop clocked by clk_scan_in)
  124. Endpoint: data_out (output port clocked by clk_scan_out)
  125. Path Group: clk_scan_out
  126. Path Type: min
  127. Corner: ff
  128.  
  129. Fanout Cap Slew Delay Time Description
  130. -----------------------------------------------------------------------------
  131. 2.50 2.50 clock clk_scan_in (fall edge)
  132. 0.00 2.50 clock source latency
  133. 0.08 0.05 2.55 v clk_in (in)
  134. 10 0.05 clk_in (net)
  135. 0.08 0.00 2.55 v out_flop/CLK_N (sky130_fd_sc_hd__dfrtn_1)
  136. 0.13 0.31 2.86 v out_flop/Q (sky130_fd_sc_hd__dfrtn_1)
  137. 1 0.03 data_out (net)
  138. 0.13 0.00 2.86 v data_out (out)
  139. 2.86 data arrival time
  140.  
  141. 0.00 0.00 clock clk_scan_out (rise edge)
  142. 0.00 0.00 clock source latency
  143. 0.18 0.13 0.13 ^ clk_in (in)
  144. 10 0.05 clk_in (net)
  145. 0.18 0.00 0.13 ^ _54_/A (sky130_fd_sc_hd__buf_2)
  146. 0.13 0.18 0.31 ^ _54_/X (sky130_fd_sc_hd__buf_2)
  147. 1 0.03 clk_out (net)
  148. 0.13 0.00 0.31 ^ clk_out (out)
  149. 0.20 0.51 clock uncertainty
  150. 0.00 0.51 clock reconvergence pessimism
  151. 1.50 2.01 output external delay
  152. 2.01 data required time
  153. -----------------------------------------------------------------------------
  154. 2.01 data required time
  155. -2.86 data arrival time
  156. -----------------------------------------------------------------------------
  157. 0.85 slack (MET)
  158.  
Advertisement
Add Comment
Please, Sign In to add comment