Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- # Create a clock for the scan chain @ 200 MHz
- create_clock -name clk_scan_in -period 5 [get_ports {clk_in}]
- create_generated_clock -name clk_scan_out -source clk_in -combinational [get_ports {clk_out}]
- # Scan chain input 0.5 ns setup time, 0.5 ns hold time
- set_input_delay -min 0.5 -clock [get_clocks clk_scan_in] [get_ports {data_in}]
- set_input_delay -max 0.5 -clock [get_clocks clk_scan_in] [get_ports {data_in}]
- # Scan chain output 1.5 ns setup time, 1.5 ns hold time
- set_output_delay -min -1.5 -clock [get_clocks clk_scan_out] [get_ports {data_out}]
- set_output_delay -max 1.5 -clock [get_clocks clk_scan_out] [get_ports {data_out}]
- ===========================================================================
- report_checks -path_delay max (Setup)
- ============================================================================
- ======================= Slowest Corner ===================================
- Startpoint: data_in (input port clocked by clk_scan_in)
- Endpoint: scan_flop[0] (rising edge-triggered flip-flop clocked by clk_scan_in)
- Path Group: clk_scan_in
- Path Type: max
- Corner: ss
- Fanout Cap Slew Delay Time Description
- -----------------------------------------------------------------------------
- 0.00 0.00 clock clk_scan_in (rise edge)
- 0.00 0.00 clock network delay (propagated)
- 0.50 0.50 v input external delay
- 0.04 0.03 0.53 v data_in (in)
- 1 0.01 data_in (net)
- 0.04 0.00 0.53 v scan_flop[0]/D (sky130_fd_sc_hd__sdfxtp_1)
- 0.53 data arrival time
- 5.00 5.00 clock clk_scan_in (rise edge)
- 0.00 5.00 clock source latency
- 0.36 0.24 5.24 ^ clk_in (in)
- 10 0.05 clk_in (net)
- 0.36 0.00 5.24 ^ scan_flop[0]/CLK (sky130_fd_sc_hd__sdfxtp_1)
- -0.20 5.04 clock uncertainty
- 0.00 5.04 clock reconvergence pessimism
- -0.36 4.68 library setup time
- 4.68 data required time
- -----------------------------------------------------------------------------
- 4.68 data required time
- -0.53 data arrival time
- -----------------------------------------------------------------------------
- 4.15 slack (MET)
- Startpoint: out_flop (falling edge-triggered flip-flop clocked by clk_scan_in)
- Endpoint: data_out (output port clocked by clk_scan_out)
- Path Group: clk_scan_out
- Path Type: max
- Corner: ss
- Fanout Cap Slew Delay Time Description
- -----------------------------------------------------------------------------
- 2.50 2.50 clock clk_scan_in (fall edge)
- 0.00 2.50 clock source latency
- 0.21 0.16 2.66 v clk_in (in)
- 10 0.05 clk_in (net)
- 0.21 0.00 2.66 v out_flop/CLK_N (sky130_fd_sc_hd__dfrtn_1)
- 0.52 1.24 3.90 ^ out_flop/Q (sky130_fd_sc_hd__dfrtn_1)
- 1 0.03 data_out (net)
- 0.52 0.00 3.90 ^ data_out (out)
- 3.90 data arrival time
- 5.00 5.00 clock clk_scan_out (rise edge)
- 0.00 5.00 clock source latency
- 0.36 0.24 5.24 ^ clk_in (in)
- 10 0.05 clk_in (net)
- 0.36 0.00 5.24 ^ _54_/A (sky130_fd_sc_hd__buf_2)
- 0.28 0.45 5.69 ^ _54_/X (sky130_fd_sc_hd__buf_2)
- 1 0.03 clk_out (net)
- 0.28 0.00 5.69 ^ clk_out (out)
- -0.20 5.49 clock uncertainty
- 0.00 5.49 clock reconvergence pessimism
- -1.50 3.99 output external delay
- 3.99 data required time
- -----------------------------------------------------------------------------
- 3.99 data required time
- -3.90 data arrival time
- -----------------------------------------------------------------------------
- 0.10 slack (MET)
- ===========================================================================
- report_checks -path_delay min (Hold)
- ============================================================================
- ======================= Fastest Corner ===================================
- Startpoint: data_in (input port clocked by clk_scan_in)
- Endpoint: scan_flop[0] (rising edge-triggered flip-flop clocked by clk_scan_in)
- Path Group: clk_scan_in
- Path Type: min
- Corner: ff
- Fanout Cap Slew Delay Time Description
- -----------------------------------------------------------------------------
- 0.00 0.00 clock clk_scan_in (rise edge)
- 0.00 0.00 clock network delay (propagated)
- 0.50 0.50 ^ input external delay
- 0.03 0.02 0.52 ^ data_in (in)
- 1 0.01 data_in (net)
- 0.03 0.00 0.52 ^ scan_flop[0]/D (sky130_fd_sc_hd__sdfxtp_1)
- 0.52 data arrival time
- 0.00 0.00 clock clk_scan_in (rise edge)
- 0.00 0.00 clock source latency
- 0.18 0.13 0.13 ^ clk_in (in)
- 10 0.05 clk_in (net)
- 0.18 0.00 0.13 ^ scan_flop[0]/CLK (sky130_fd_sc_hd__sdfxtp_1)
- 0.20 0.33 clock uncertainty
- 0.00 0.33 clock reconvergence pessimism
- -0.04 0.29 library hold time
- 0.29 data required time
- -----------------------------------------------------------------------------
- 0.29 data required time
- -0.52 data arrival time
- -----------------------------------------------------------------------------
- 0.23 slack (MET)
- Startpoint: out_flop (falling edge-triggered flip-flop clocked by clk_scan_in)
- Endpoint: data_out (output port clocked by clk_scan_out)
- Path Group: clk_scan_out
- Path Type: min
- Corner: ff
- Fanout Cap Slew Delay Time Description
- -----------------------------------------------------------------------------
- 2.50 2.50 clock clk_scan_in (fall edge)
- 0.00 2.50 clock source latency
- 0.08 0.05 2.55 v clk_in (in)
- 10 0.05 clk_in (net)
- 0.08 0.00 2.55 v out_flop/CLK_N (sky130_fd_sc_hd__dfrtn_1)
- 0.13 0.31 2.86 v out_flop/Q (sky130_fd_sc_hd__dfrtn_1)
- 1 0.03 data_out (net)
- 0.13 0.00 2.86 v data_out (out)
- 2.86 data arrival time
- 0.00 0.00 clock clk_scan_out (rise edge)
- 0.00 0.00 clock source latency
- 0.18 0.13 0.13 ^ clk_in (in)
- 10 0.05 clk_in (net)
- 0.18 0.00 0.13 ^ _54_/A (sky130_fd_sc_hd__buf_2)
- 0.13 0.18 0.31 ^ _54_/X (sky130_fd_sc_hd__buf_2)
- 1 0.03 clk_out (net)
- 0.13 0.00 0.31 ^ clk_out (out)
- 0.20 0.51 clock uncertainty
- 0.00 0.51 clock reconvergence pessimism
- 1.50 2.01 output external delay
- 2.01 data required time
- -----------------------------------------------------------------------------
- 2.01 data required time
- -2.86 data arrival time
- -----------------------------------------------------------------------------
- 0.85 slack (MET)
Advertisement
Add Comment
Please, Sign In to add comment