Advertisement
LuidDuarte

Untitled

Dec 18th, 2017
455
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module fim(CLOCK_27, SW, LEDG, LEDR, HEX0);
  2.  
  3. input [0:0]SW;
  4. input [0:0]CLOCK_27;
  5.  
  6. output reg [6:0]HEX0;
  7. reg [1:0]ESTADO;
  8.  
  9. //DECLARAÇAO DOS ESTADOS:
  10. parameter I = 2'b00, A = 2'b01, B = 2'b10, F = 2'b11;
  11.  
  12. //TRANSIÇAO DE ESTADOS
  13. initial ESTADO = I;
  14. always @(posedge CLOCK_27[0])
  15. begin  
  16.     case(ESTADO)
  17.          I: if(SW[0] == 1) ESTADO <= A; else ESTADO <= I;
  18.          A: if(SW[0] == 0) ESTADO <= B; else ESTADO <= A;
  19.          B: if(SW[0] == 1) ESTADO <= F; else ESTADO <= B;      
  20.          F: if(SW[0] == 0) ESTADO <= I; else ESTADO <= F;
  21.         endcase
  22. end
  23.  
  24. //GERAÇAO DAS SAÍDAS
  25. always @ (ESTADO)
  26. begin
  27.     case(ESTADO)
  28.         I: HEX0 = 7'b0001110; // Mostra "F"
  29.         F: HEX0 = 7'b0001110; // Mostra "F"
  30.         A: HEX0 = 7'b1000000; // Mostra "A"
  31.         B: HEX0 = 7'b1000000; // Mostra "A"
  32.     endcase
  33. end
  34. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement