Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- x.lpf:
- BLOCK RESETPATHS ;
- BLOCK ASYNCPATHS ;
- SYSCONFIG TRANSFR=ON ;
- LOCATE COMP "mumus" SITE "C6" ;
- LOCATE COMP "led" SITE "P2" ;
- LOCATE COMP "clk" SITE "B12" ;
- LOCATE COMP "coco" SITE "L1" ;
- x.v:
- module top(input clk, output led, output coco,output mumus);
- reg ctr = 0;
- reg[24:0] counter = 0;
- always@(posedge clk) begin
- if (ctr == 1) begin
- ctr <= 0;
- counter <= counter + 1;
- end
- else
- ctr <= 1;
- end
- assign led = ctr;
- assign coco = led;
- assign mumus = counter;
- endmodule
- commands on linux (don't forget to replace the path to database):
- yosys -p "synth_ecp5 -json x.json" x.v
- nextpnr-ecp5 --json x.json --textcfg x_out.config --um5g-25k --package CABGA381 --lpf x.lpf
- ecppack --db /path/to/database --svf x.svf x_out.config x.bit
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement